在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: daiwei88

西安电子科技大学出版社电子教案集——PPT课件

[复制链接]
发表于 2010-5-13 13:09:19 | 显示全部楼层
楼主你也太牛了,哪偷来的东东
发表于 2010-5-13 21:52:12 | 显示全部楼层
这里西电的人果然很多
发表于 2010-5-29 17:16:44 | 显示全部楼层
内容不错
发表于 2010-5-29 17:17:56 | 显示全部楼层
不过没有钱了
发表于 2010-5-29 17:42:45 | 显示全部楼层
内容很详细
发表于 2010-5-29 20:49:08 | 显示全部楼层
强烈支持啊~~~~~~~~~~~~~哈哈
发表于 2010-5-31 13:02:23 | 显示全部楼层
good material
发表于 2010-7-6 21:48:21 | 显示全部楼层
ddddddd
发表于 2010-7-6 21:54:25 | 显示全部楼层
module LWBSAA7113 (reset,clk,llck,vpo,rst,capture,error,SRAM_CE_,SRAM_OE_,SRAM_WE_,la,ld);

        //=================================================================================
        //input
        //=================================================================================

            /*___________________________________________________ */
                //reset
                input reset;//
            /*___________________________________________________ */
                //from saa7113
                input clk;//50MHz clock
                input llck;//SAA7113 video clock (27 MHz)
                input [7:0] vpo;//data from SAA7113
                input [1:0] rst;//real-time video status
                input capture;//flag for grab video data


        //=================================================================================
        //output
        //=================================================================================
            /*___________________________________________________ */
                //to sram
                output error;//state indicator,to LED
                output SRAM_CE_;
                output SRAM_OE_;
                output SRAM_WE_;
                output [18:0] la;//address bus to sram
                output [7:0] ld;//data bus to sram
发表于 2010-7-7 09:50:22 | 显示全部楼层
很实用的技术资料
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-17 22:39 , Processed in 0.143860 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表