在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: libaiqsl

求助,ic610找不到spectre

[复制链接]
发表于 2009-1-15 11:26:53 | 显示全部楼层
环境变量
发表于 2009-1-17 15:35:21 | 显示全部楼层
最好再去下载一个全一点的license文件
发表于 2009-1-17 20:49:14 | 显示全部楼层
ic610不带MMSIM,得另外下载安装
发表于 2009-1-19 06:53:12 | 显示全部楼层
610好像自己不带spectre
发表于 2009-4-22 11:29:01 | 显示全部楼层
同样的问题,多多请教。。。
发表于 2009-4-24 20:17:10 | 显示全部楼层
环境变量设置问题,要在里面设置到mmsim的tool里面去。
发表于 2013-4-7 11:25:42 | 显示全部楼层
回复 7# tjjbraye

我也遇到这样的问题。按照七楼的说法,像那样改试试。结果我发现,在MMSIM_ROOT/目录下,只有tools.lnx86这个文件,而没有tools这个文件夹。这两个是一样的吗?我改成这样:export PATH=$MMSIM_ROOT/tools.lnx86/bin,结果仍然报相同的错误。
求大神们讲解啊!
发表于 2017-7-21 17:44:35 | 显示全部楼层
回复 6# libaiqsl

大神你好。能帮我看看这个吗?万分感谢!!!!!


The netlist is up to date.

        Time taken to compare the design with netlist:   0.0s

ERROR (ADE-3023): Unable to locate spectre executable in the specified path. Ensure that

        the executable is present in the path or to set the correct path, use

        the setShellEnvVar() in Command Interpreter Window (CIW).

Simulation unsuccessful during parametric sweep

Stopping parametric simulation...

INFO (ADE-3072): Simulation is stopped by user. Simulation results may not be complete.

WARNING (OCN-6040): The specified directory does not exist, or the directory does not contain valid PSF results.

        Ensure that the path to the directory is correct and the directory has a logFile and PSF result files.

The simulator output is in the file "/home/leo/simulation/CMOSIV/spectre/schematic/netlist/paraplot-sim-out".

Parametric simulation failed for first point.


环境变量:
export DD_DONT_DO_OS_LOCKS=SET
export CDS_LIC_FILE=$CDS_ROOT/IC616/share/license/license.dat
export LM_LICENSE_FILE=$CDS_ROOT/IC616/share/license/license.dat

export SPECTRE_DEFAULTS=-E
export CDS_Netlisting_Mode=Analog
export OA_HOME=$CDS_ROOT/IC616/oa_v22.43.040
export PATH=$CDS_ROOT/IC616/tools/binPATH
export PATH=$CDS_ROOT/IC616/tools/dfII/binPATH
export PATH=$CDS_ROOT/IC616/tools/spectre/binPATH
export PATH=$CDS_ROOT/IC616/share/bin:$PATH
export PATH=$CDS_ROOT/IC616/share/cdsdoc/bin:$PATH
export PATH=$CDS_ROOT/MMSIM141/tools.lnx86/bin:$PATH
export CDS_TEST_LIBPATH=/usr/lib:/lib
发表于 2018-4-11 23:27:47 | 显示全部楼层
应该是路径问题 好好检查一下
发表于 2018-4-11 23:44:51 | 显示全部楼层
应该是路径问题
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 20:25 , Processed in 0.028160 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表