在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (62) |订阅

脚本讨论区 今日: 0|主题: 1881|排名: 25 

[原创] 请问想要一次性全部导出全选的layer信息,咋整呢! lisongyue 2021-4-26 71836 matlabss 2021-8-8 21:49
[原创] 脚本应用 Williams00 2021-7-20 42208 JetYeah 2021-7-20 20:34
[原创] OA files creation time eda_dude 2021-6-22 21578 eda_dude 2021-6-25 00:37
[原创] 各位,请教下如何使得Ring同向内缩/外扩 attach_img LLECP 2021-5-18 11769 LLECP 2021-5-18 15:38
[原创] tcl 获取树目录顶层文件夹所含格式 rick_chen 2021-4-10 01796 rick_chen 2021-4-10 16:44
[原创] tcl/tk open命令如何打开后缀为gz的压缩文件 B40514066 2018-12-10 24950 maoqiu 2021-2-24 16:23
[原创] align skill code same as ic615  ...2 fgwh406 2014-11-20 185911 fengtang2332 2021-2-24 14:16
悬赏 [原创] vim如何自动顺序执行几个操作? - [已解决] attach_img  ...2 typhoon222 2020-10-20 164070 BertramGao 2021-1-4 16:44
[原创] 招兼职cadence skill讲师或技术支持人员 62451686zg 2020-10-21 02153 62451686zg 2020-10-21 14:46
[原创] 分享一个以前写的十字标尺skill脚本 attach_img Jen 2020-8-17 12566 zlily020 2020-9-22 15:01
[原创] skill 关于提取所有使用到的库的脚本,以及疑问 attach_img  ...23 18756170293 2019-11-5 247339 shuqilin 2020-8-12 14:20
[原创] schematic 能直接把selected产生一个新schematic吗? papertiger 2020-6-23 42166 papertiger 2020-6-28 13:03
悬赏 [原创] 用tcl遍历文件夹搜索文件时为什么相同的文件会出现多次 - [悬赏 100 信元资产] B-elial 2016-3-13 84255 freebigfish 2020-6-15 17:00
[原创] make file 命令包用法 heal119 2020-6-3 02362 heal119 2020-6-3 22:55
[原创] 关于工艺角 刘宇512 2020-5-1 62261 刘宇512 2020-5-8 11:25
[原创] CentOS 安装installscape LLECP 2020-4-27 01590 LLECP 2020-4-27 11:06
[原创] makefile在IC验证脚本中的应用。vcs、verdi、dve,支持sv、UVM,查看覆盖率 - [阅读权限 255] 山雨欲来风满楼 2020-3-26 065 山雨欲来风满楼 2020-3-26 16:26
[原创] [新手友好向]如何从零开始学习写makefile,庖丁解makefile。IC验证脚本适用VCS、Verdi、DVE等 - [阅读权限 255] 山雨欲来风满楼 2020-3-25 030 山雨欲来风满楼 2020-3-25 10:42
[原创] skill 中是否有类似tcl 的info 的命令 barpdista 2020-2-14 62292 barpdista 2020-2-24 11:59
[原创] [Perl]在windows环境下运行Perl,超详细教程亲测有效 attach_img 山雨欲来风满楼 2020-2-9 14445 ranqi 2020-2-9 19:18
[原创] perl学习 爱IC 2011-11-15 67543 licloud 2020-1-10 16:07
[原创] 请假各位朋友一个skills问题 新人帖 attachment 18756170293 2019-10-24 72247 hccaiwh 2019-12-4 11:14
[原创] 请问各位大神,有没有可以方便地检查TCL脚本的语法的工具? barpdista 2017-3-27 22561 hwynhh@163.com 2019-11-7 11:07
[原创] Python shell脚本错误 seanz100 2013-4-18 82652 freebirdlee 2019-9-29 14:21
[原创] gvim怎么开启自动补全啊? attachment gerry1812 2014-2-28 74630 棍棍儿 2019-7-30 16:28
[原创] mos skill code fgwh406 2014-12-11 42857 hccaiwh 2019-4-3 15:27
[原创] 经过perl处理的excel会卡顿 余晖尽落 2019-3-21 01476 余晖尽落 2019-3-21 20:38
[原创] TCL对文本大小写之间转换的脚本 attachment lik0604 2016-6-26 13368 xyx10021 2019-3-12 13:47
[原创] 如何通过工艺厂提供的mask_tooling文件将gds数据转换成最后的mask数据 tanghaixia 2015-8-21 34727 edwardk 2018-11-28 19:27
[原创] Perl 常用 module推荐  ...234 icfbicfb 2011-10-21 3310750 patrick0999 2018-7-2 10:21
[原创] vim编辑器的配置 attach_img  ...2 carl19880412 2014-6-16 145937 duoyun 2018-6-24 02:07
[原创] Virtuoso有官方自带的快捷键脚本 informations 2018-1-29 13018 okfunny 2018-2-1 00:11
[原创] Cadence User interface skill attach_img informations 2018-1-19 22909 informations 2018-1-19 15:46
[原创] alias 是csh还是bash的命令?? hygfreedom 2015-1-27 33325 chenghsiutso 2018-1-19 02:34
[原创] perl 改 CDL layoutxyz 2017-12-27 72192 note30933 2017-12-27 12:08
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-22 13:55 , Processed in 0.020967 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块