在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 116|回复: 0

[求助] DC报错 Min pulse width constraints

[复制链接]
发表于 4 天前 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 nzn 于 2025-6-5 17:02 编辑

请教一下大家,在做DC的时候报错Min pulse width constraints,现在时钟的定义是周期62.5ns,高电平8ns(特殊应用),这个问题严重吗,对于65nm工艺来说可以做到吗


DC脚本如下:


set CLK_NAME1         clk1
set CLK_PERIOD1       62.5
set CLK_SKEW1         [expr $CLK_PERIOD1*0.03]
set CLK_TRAN1         [expr $CLK_PERIOD1*0.08]
set CLK_LATENCY1      [expr $CLK_PERIOD1*0.2]
set CLK_SRC_LATENCY1  [expr $CLK_PERIOD1*0.05]

create_clock -name $CLK_NAME1 -period $CLK_PERIOD1  -waveform {0 20}  [get_ports $CLK_NAME1]
set_ideal_network [get_ports $CLK_NAME1]
set_dont_touch_network [get_ports $CLK_NAME1]
set_drive 0 [get_ports $CLK_NAME1]
set_clock_uncertainty -setup $CLK_SKEW1 [get_clocks $CLK_NAME1]
set_clock_transition -max $CLK_TRAN1 [get_clocks $CLK_NAME1]
set_clock_latency -source -max $CLK_SRC_LATENCY1 [get_clocks $CLK_NAME1]
set_clock_latency -max $CLK_LATENCY1 [get_clocks $CLK_NAME1]

set CLK_NAME2    clk2
set CLK_PERIOD2   10
set CLK_SKEW2     [expr   $CLK_PERIOD2*0.03]
set CLK_TRAN2     [expr   $CLK_PERIOD2*0.08]
set CLK_LATENCY2  [expr   $CLK_PERIOD2*0.2]
set CLK_SRC_LATENCY2  [expr   $CLK_PERIOD2*0.05]

create_clock     -period    $CLK_PERIOD2     [get_ports $CLK_NAME2]
set_ideal_network        [get_ports $CLK_NAME2]
set_dont_touch_network   [get_ports $CLK_NAME2]
set_drive  0             [get_ports $CLK_NAME2]
set_clock_uncertainty    -setup  $CLK_SKEW2     [get_clocks  $CLK_NAME2]
set_clock_transition     -max    $CLK_TRAN2     [get_clocks  $CLK_NAME2]
set_clock_latency  -source -max  $CLK_SRC_LATENCY2  [get_clocks  $CLK_NAME2]
set_clock_latency  -max          $CLK_LATENCY2      [get_clocks  $CLK_NAME2]


set CLK_NAME3    clk3
set CLK_PERIOD3   62.5
set CLK_SKEW3     [expr   $CLK_PERIOD3*0.03]
set CLK_TRAN3     [expr   $CLK_PERIOD3*0.08]
set CLK_LATENCY3  [expr   $CLK_PERIOD3*0.2]
set CLK_SRC_LATENCY3  [expr   $CLK_PERIOD3*0.05]

create_clock     -period    $CLK_PERIOD3     [get_ports $CLK_NAME3]
set_ideal_network        [get_ports $CLK_NAME3]
set_dont_touch_network   [get_ports $CLK_NAME3]
set_drive  0             [get_ports $CLK_NAME3]
set_clock_uncertainty    -setup  $CLK_SKEW3     [get_clocks  $CLK_NAME3]
set_clock_transition     -max    $CLK_TRAN3     [get_clocks  $CLK_NAME3]
set_clock_latency  -source -max  $CLK_SRC_LATENCY3  [get_clocks  $CLK_NAME3]
set_clock_latency  -max          $CLK_LATENCY3      [get_clocks  $CLK_NAME3]

# 声明 clk1、clk2、clk3 为互相异步的独立时钟域
set_clock_groups -asynchronous \
    -group $CLK_NAME1 \
    -group $CLK_NAME2 \
    -group $CLK_NAME3
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

X

小黑屋| 手机版| 关于我们| 联系我们| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2025-6-9 00:04 , Processed in 0.014550 second(s), 8 queries , Gzip On, MemCached On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表