在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 403|回复: 5

[求助] 有没有将代码转成逻辑电路的工具?

[复制链接]
发表于 2024-8-29 22:53:25 | 显示全部楼层 |阅读模式
10资产
有没有一种工具,可以将简单的代码逻辑(比如if这样的)转换成与或非逻辑门电路的工具?

发表于 2024-8-30 14:12:52 | 显示全部楼层
使用Vivado的RTL Analysis
发表于 2024-8-30 14:18:20 | 显示全部楼层
比较简单的是用FPGA的软件,ISE,vivado可以直接生成电路,或者用design compiler,这个操作起来门槛高一点
发表于 2024-8-30 14:47:47 | 显示全部楼层
Design Compiler 是由 Synopsys 公司开发的一款综合工具,用于将 RTL 代码转换成门级网表电路,同时还可以进行时序分析、时序优化、功耗优化、面积优化等功能
发表于 2024-8-31 16:06:54 | 显示全部楼层
你说的是综合工具?
发表于 2024-9-1 14:19:17 | 显示全部楼层
HLS may be what you want.
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 11:25 , Processed in 0.016418 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表