在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1663|回复: 2

[原创] 使用intel-Modelisim进行UVM仿真(Modelsim ASE版本)

[复制链接]
发表于 2022-6-8 13:53:28 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
首先感谢前辈@guolehaohao,我是在他的帖子里看到的方法。地址:https://bbs.eetop.cn/thread-416407-1-1.html写这个也是留个记录,以防以后再遇到。或者有新手跟我一样,能帮助到最好。
因为公司的电脑不能装破解的版本,对于Intel的quartus下载的modelsim,版本是ASE,我试了很久去仿真UVM,就是一直在报错read mode.即便是授权了更改文件属性依旧不可以。Error: (vlog-7) Failed to open design unit file "XXXXX" in read mode (因为自带的是vum1.2)
我根据前辈的帖子下载了uvm1.1d.tar.gz
也可以在官网下载对应的UVM库:
https://www.accellera.org/images/downloads/standards/uvm
然后根据原贴中的gcc处理流程即可。一定要生成.dll文件,或者也可以直接在前辈的帖子中下载这个dll文件。

我以UVM实战的源码为例,进行了仿真;(相应文件见附件)

image.png
编写do文件以及run.bat:
image.png
(有一个问题,在do文件中add wave * 未生效,且modelsim有个错误。不知道为啥,所以我就删掉了,等加载结束,在modelism输入run 100 )
image.png
可以看到打印出了对应的信息。
存在问题:add wave * 错误



image.png

UVM.zip

3.16 MB, 下载次数: 5 , 下载积分: 资产 -2 信元, 下载支出 2 信元

 楼主| 发表于 2022-6-8 17:40:16 | 显示全部楼层

add wave -position end  sim:/top_tb/*
发表于 2022-9-12 14:28:00 | 显示全部楼层
谢谢分享
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-23 13:30 , Processed in 0.015496 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表