在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: eextw6

[求助] uvm 队列打印问题

[复制链接]
 楼主| 发表于 2021-7-2 10:14:49 | 显示全部楼层


zhangdeshuai 发表于 2021-7-1 21:04
用uvm_field_queue_object注册的话,可以直接用tr_queue.print


我尝试用了一下这个方法,还是报了resolve cross_module reference的错,是用法不对吗?代码类似如下:

class my_scoreboard extends uvm_scoreboard;
my_transaction      tr_queue[$];
my_transaction      my_tr;

`uvm_component_utils_begin(my_scoreboard)
    `uvm_field_queue_object(tr_queue, UVM_ALL_ON)
`uvm_component_utils_end

task main_phase;
      tr_queue.push_back(my_tr);
      tr_queue.print();
endtask

endclass
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-23 01:21 , Processed in 0.012836 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表