在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1551|回复: 4

[求助] 门仿,这个延时为什么是1ns?

[复制链接]
发表于 2021-4-12 14:13:57 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

自己写的简单的一个and cell , 如下:
module cell_1(input a,b,output out1);

and and_inst (out1,a,b);

specify
  (a *> out1) = (1) ;

  (b => out1) = (3,4,5);
endspecify
endmodule

但是仿真波形出来,当a , b同时拉高时, out1的delay 是1ns(如图),    为啥不是specify中 b设置的delay 3ns呢 ?

这种 既有 a 与 out 的delay , 又有b 与out delay, 怎么计算的呢?
delay.png
 楼主| 发表于 2021-4-13 10:22:51 | 显示全部楼层
顶一下,,,
发表于 2021-4-13 12:25:12 | 显示全部楼层
在a,b并发切换时,选择最小延时

good luck
 楼主| 发表于 2021-4-13 14:50:16 | 显示全部楼层


randolpha 发表于 2021-4-13 12:25
在a,b并发切换时,选择最小延时

good luck



有点奇怪,延时不是一般选取最大值吗 ?  这样两者皆可满足。

比方说: 在specify中 指定 的 path delay ,  cell module 本身自带的 distribute delay ; 默认就会选取最大值。
发表于 2021-4-13 15:17:55 | 显示全部楼层


诸葛小天 发表于 2021-4-13 14:50
有点奇怪,延时不是一般选取最大值吗 ?  这样两者皆可满足。

比方说: 在specify中 指定 的 path dela ...


你去看IEEE的specify规范.不要自己想入非非...
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 23:34 , Processed in 0.019128 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表