在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2498|回复: 2

[求助] 【SV】SystemVerilog中随机数位数问题

[复制链接]
发表于 2020-12-16 12:18:58 | 显示全部楼层 |阅读模式
38资产
在写testbench的时候要对一个256位的数据赋值,调用了$random,但似乎他只有32位,请问如何进行256位的随机数赋值呢?谢谢!

发表于 2020-12-16 12:48:54 | 显示全部楼层
拼接一下?
发表于 2020-12-16 21:45:26 | 显示全部楼层




  1. bit[255:0] result;
  2. for(int i=0; i< 8; i++)begin
  3.    bit[31:0] temp= $random();
  4.    result = (result << (32*i)) | temp;
  5. end


复制代码
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-18 17:51 , Processed in 0.052714 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表