在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3903|回复: 9

储存器程序,只能进行功能仿真,为何不能进行以后的仿真?有谁帮我看看下面的程序?

[复制链接]
发表于 2003-8-27 10:27:14 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我编了一个简单的储存器程序,只能进行功能仿真,为何不能进行以后的仿真?
entity rom_1 is
    Port ( wr , rd , cs : in std_logic;
  ad  : in std_logic_vector ( 7 downto 0 );
           data_in : in std_logic_vector ( 7 downto 0 );
           dout : out std_logic_vector(7 downto 0)
  );
end rom_1;
architecture Behavioral of rom_1 is
subtype word is std_logic_vector(7 downto 0);
type memory is array ( 0 to 255 ) of word ;

signal adr : integer ;
signal  sram :memory;
begin
  adr <= conv_integer( ad ) ;
  
  process ( wr , rd )
    begin
  if wr'event and wr ='1'  then
   if  cs='1' and wr='1' then

sram ( adr ) <= data_in ;
end if ;
end if ;
  end process;
  process ( rd , cs )
     begin
if( rd ='0' and cs ='1'  ) then
dout<= sram ( adr ) ;
end if;
end process;
end Behavioral ;
发表于 2003-8-27 10:47:43 | 显示全部楼层

储存器程序,只能进行功能仿真,为何不能进行以后的仿真?有谁帮我看看下面的程序?

上个帖子曾进提到过:不要试图用类似二维数组的语句来自己构建存储器,虽然说综合器有时候不拒绝做这种工作,但是耗费大量资源(包括FPGA的内部资源和综合时的计算机资源),结果也不会理想。
所以这个程序最好只作仿真用,实际应用的话最好直接调用厂家的库。
 楼主| 发表于 2003-8-27 11:13:27 | 显示全部楼层

储存器程序,只能进行功能仿真,为何不能进行以后的仿真?有谁帮我看看下面的程序?

我只是在学习,不想实际用,但是做不出来,我有一点不甘心,你的意思是我没有必要亲自进行储存器的编制?
发表于 2003-8-27 11:20:51 | 显示全部楼层

储存器程序,只能进行功能仿真,为何不能进行以后的仿真?有谁帮我看看下面的程序?

对。
 楼主| 发表于 2003-8-27 11:33:01 | 显示全部楼层

储存器程序,只能进行功能仿真,为何不能进行以后的仿真?有谁帮我看看下面的程序?

谢谢!我知道自己该怎样做了!但是我还是会继续做下去,因为我的导师要求我在两天内仿真出来,今天是第二天,没有办法!再次感谢你!
发表于 2003-8-27 12:48:29 | 显示全部楼层

储存器程序,只能进行功能仿真,为何不能进行以后的仿真?有谁帮我看看下面的程序?

现在国内的哪条线能作ram
发表于 2003-8-27 13:02:37 | 显示全部楼层

储存器程序,只能进行功能仿真,为何不能进行以后的仿真?有谁帮我看看下面的程序?

华虹NEC好像做的。
发表于 2003-8-27 13:04:11 | 显示全部楼层

储存器程序,只能进行功能仿真,为何不能进行以后的仿真?有谁帮我看看下面的程序?

几um
静态还是动态的
rom做吗
发表于 2003-8-27 14:06:12 | 显示全部楼层

储存器程序,只能进行功能仿真,为何不能进行以后的仿真?有谁帮我看看下面的程序?

具体情况不是很清楚,Dram是肯定做的,也许可以去网上查查吧。
发表于 2003-8-28 21:26:19 | 显示全部楼层

储存器程序,只能进行功能仿真,为何不能进行以后的仿真?有谁帮我看看下面的程序?

请问逻辑单元与门数有什么关系啊!!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-29 12:08 , Processed in 0.040890 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表