在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1627|回复: 4

[求助] uvm 出错

[复制链接]
发表于 2018-9-1 10:26:30 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
cc.png

产生不了sequence_item,不知为什么
 楼主| 发表于 2018-9-1 10:27:45 | 显示全部楼层
item的类型ahb_seq_item 是从uvm_sequence_item派生而来的
发表于 2018-9-2 15:33:45 | 显示全部楼层
上一个帖子那个兄弟给你说的declare语句有没有试过?
发表于 2018-9-5 16:26:54 | 显示全部楼层
怎么又重新开个贴呢?
发表于 2018-9-5 21:55:05 | 显示全部楼层
把你相关的sequence和sequencer的代码贴上来。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 07:31 , Processed in 0.028261 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表