在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1357|回复: 0

[求助] 求助大神们。

[复制链接]
发表于 2018-4-3 18:31:13 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
小弟刚学UVM验证,在搭平台时make -f Makefile.vcs
出现如下错误
[root@bogon examples]# make -f  Makefile.vcs
vcs -sverilog -timescale=1ns/1ns +acc +vpi +define+UVM_OBJECT_MUST_HAVE_CONSTRUCTOR +incdir+../../../../src ../../../../src/uvm.sv ../../../../src/dpi/uvm_dpi.cc -CFLAGS -DVCS +incdir+../sv \

ubus_tb_top.sv
                         Chronologic VCS (TM)
         Version H-2013.06_Full64 -- Tue Apr  3 11:29:47 2018
               Copyright (c) 1991-2013 by Synopsys Inc.
                         ALL RIGHTS RESERVED

This program is proprietary and confidential information of Synopsys Inc.
and may be used and disclosed only as authorized in a license agreement
controlling such use and disclosure.


Warning-[ACC_CLI_ON] ACC/CLI capabilities enabled
  ACC/CLI capabilities have been enabled for the entire design. For faster
  performance enable module specific capability in pli.tab file

Parsing design file '../../../../src/uvm.sv'
Parsing included file '../../../../src/uvm_pkg.sv'.
Parsing included file '../../../../src/uvm_macros.svh'.
Parsing included file '../../../../src/macros/uvm_version_defines.svh'.
Back to file '../../../../src/uvm_macros.svh'.
Parsing included file '../../../../src/macros/uvm_message_defines.svh'.
Back to file '../../../../src/uvm_macros.svh'.
Parsing included file '../../../../src/macros/uvm_phase_defines.svh'.
Back to file '../../../../src/uvm_macros.svh'.
Parsing included file '../../../../src/macros/uvm_object_defines.svh'.
Back to file '../../../../src/uvm_macros.svh'.
Parsing included file '../../../../src/macros/uvm_printer_defines.svh'.
Back to file '../../../../src/uvm_macros.svh'.
Parsing included file '../../../../src/macros/uvm_tlm_defines.svh'.
Parsing included file '../../../../src/tlm1/uvm_tlm_imps.svh'.
Back to file '../../../../src/macros/uvm_tlm_defines.svh'.
Back to file '../../../../src/uvm_macros.svh'.
Parsing included file '../../../../src/macros/uvm_sequence_defines.svh'.
Back to file '../../../../src/uvm_macros.svh'.
Parsing included file '../../../../src/macros/uvm_callback_defines.svh'.
Back to file '../../../../src/uvm_macros.svh'.
Parsing included file '../../../../src/macros/uvm_reg_defines.svh'.
Back to file '../../../../src/uvm_macros.svh'.
Parsing included file '../../../../src/macros/uvm_deprecated_defines.svh'.
Back to file '../../../../src/uvm_macros.svh'.
Back to file '../../../../src/uvm_pkg.sv'.
Parsing included file '../../../../src/dpi/uvm_dpi.svh'.
Parsing included file '../../../../src/dpi/uvm_hdl.svh'.
Back to file '../../../../src/dpi/uvm_dpi.svh'.
Parsing included file '../../../../src/dpi/uvm_svcmd_dpi.svh'.
Back to file '../../../../src/dpi/uvm_dpi.svh'.
Parsing included file '../../../../src/dpi/uvm_regex.svh'.
Back to file '../../../../src/dpi/uvm_dpi.svh'.
Back to file '../../../../src/uvm_pkg.sv'.
Parsing included file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_version.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_object_globals.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_misc.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/deprecated/uvm_type_utils.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_object.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_pool.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_queue.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_factory.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_registry.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_spell_chkr.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_resource.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/deprecated/uvm_resource_converter.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_resource_specializations.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_resource_db.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_config_db.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_printer.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_comparer.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_packer.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_recorder.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_event_callback.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_event.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_barrier.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_callback.svh'.
Parsing included file '../../../../src/uvm_macros.svh'.
Back to file '../../../../src/base/uvm_callback.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_report_catcher.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_report_server.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_report_handler.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_report_object.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_transaction.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_phase.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_domain.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_bottomup_phase.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_topdown_phase.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_task_phase.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_common_phases.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_runtime_phases.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_component.svh'.
Parsing included file '../../../../src/base/uvm_root.svh'.
Back to file '../../../../src/base/uvm_component.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_objection.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_heartbeat.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_globals.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Parsing included file '../../../../src/base/uvm_cmdline_processor.svh'.
Back to file '../../../../src/base/uvm_base.svh'.
Back to file '../../../../src/uvm_pkg.sv'.
Parsing included file '../../../../src/tlm1/uvm_tlm.svh'.
Parsing included file '../../../../src/tlm1/uvm_tlm_ifs.svh'.
Back to file '../../../../src/tlm1/uvm_tlm.svh'.
Parsing included file '../../../../src/tlm1/uvm_sqr_ifs.svh'.
Back to file '../../../../src/tlm1/uvm_tlm.svh'.
Parsing included file '../../../../src/base/uvm_port_base.svh'.
Back to file '../../../../src/tlm1/uvm_tlm.svh'.
Parsing included file '../../../../src/tlm1/uvm_tlm_imps.svh'.
Back to file '../../../../src/tlm1/uvm_tlm.svh'.
Parsing included file '../../../../src/tlm1/uvm_imps.svh'.
Back to file '../../../../src/tlm1/uvm_tlm.svh'.
Parsing included file '../../../../src/tlm1/uvm_ports.svh'.
Back to file '../../../../src/tlm1/uvm_tlm.svh'.
Parsing included file '../../../../src/tlm1/uvm_exports.svh'.
Back to file '../../../../src/tlm1/uvm_tlm.svh'.
Parsing included file '../../../../src/tlm1/uvm_analysis_port.svh'.
Back to file '../../../../src/tlm1/uvm_tlm.svh'.
Parsing included file '../../../../src/tlm1/uvm_tlm_fifo_base.svh'.
Back to file '../../../../src/tlm1/uvm_tlm.svh'.
Parsing included file '../../../../src/tlm1/uvm_tlm_fifos.svh'.
Back to file '../../../../src/tlm1/uvm_tlm.svh'.
Parsing included file '../../../../src/tlm1/uvm_tlm_req_rsp.svh'.
Back to file '../../../../src/tlm1/uvm_tlm.svh'.
Parsing included file '../../../../src/tlm1/uvm_sqr_connections.svh'.
Back to file '../../../../src/tlm1/uvm_tlm.svh'.
Back to file '../../../../src/uvm_pkg.sv'.
Parsing included file '../../../../src/comps/uvm_comps.svh'.
Parsing included file '../../../../src/comps/uvm_pair.svh'.
Back to file '../../../../src/comps/uvm_comps.svh'.
Parsing included file '../../../../src/comps/uvm_policies.svh'.
Back to file '../../../../src/comps/uvm_comps.svh'.
Parsing included file '../../../../src/comps/uvm_in_order_comparator.svh'.
Back to file '../../../../src/comps/uvm_comps.svh'.
Parsing included file '../../../../src/comps/uvm_algorithmic_comparator.svh'.
Back to file '../../../../src/comps/uvm_comps.svh'.
Parsing included file '../../../../src/comps/uvm_random_stimulus.svh'.
Back to file '../../../../src/comps/uvm_comps.svh'.
Parsing included file '../../../../src/comps/uvm_subscriber.svh'.
Back to file '../../../../src/comps/uvm_comps.svh'.
Parsing included file '../../../../src/comps/uvm_monitor.svh'.
Back to file '../../../../src/comps/uvm_comps.svh'.
Parsing included file '../../../../src/comps/uvm_driver.svh'.
Back to file '../../../../src/comps/uvm_comps.svh'.
Parsing included file '../../../../src/comps/uvm_push_driver.svh'.
Back to file '../../../../src/comps/uvm_comps.svh'.
Parsing included file '../../../../src/comps/uvm_scoreboard.svh'.
Back to file '../../../../src/comps/uvm_comps.svh'.
Parsing included file '../../../../src/comps/uvm_agent.svh'.
Back to file '../../../../src/comps/uvm_comps.svh'.
Parsing included file '../../../../src/comps/uvm_env.svh'.
Back to file '../../../../src/comps/uvm_comps.svh'.
Parsing included file '../../../../src/comps/uvm_test.svh'.
Back to file '../../../../src/comps/uvm_comps.svh'.
Back to file '../../../../src/uvm_pkg.sv'.
Parsing included file '../../../../src/seq/uvm_seq.svh'.
Parsing included file '../../../../src/seq/uvm_sequence_item.svh'.
Back to file '../../../../src/seq/uvm_seq.svh'.
Parsing included file '../../../../src/seq/uvm_sequencer_base.svh'.
Back to file '../../../../src/seq/uvm_seq.svh'.
Parsing included file '../../../../src/seq/uvm_sequencer_analysis_fifo.svh'.
Back to file '../../../../src/seq/uvm_seq.svh'.
Parsing included file '../../../../src/seq/uvm_sequencer_param_base.svh'.
Back to file '../../../../src/seq/uvm_seq.svh'.
Parsing included file '../../../../src/seq/uvm_sequencer.svh'.
Back to file '../../../../src/seq/uvm_seq.svh'.
Parsing included file '../../../../src/seq/uvm_push_sequencer.svh'.
Back to file '../../../../src/seq/uvm_seq.svh'.
Parsing included file '../../../../src/seq/uvm_sequence_base.svh'.
Back to file '../../../../src/seq/uvm_seq.svh'.
Parsing included file '../../../../src/seq/uvm_sequence.svh'.
Back to file '../../../../src/seq/uvm_seq.svh'.
Parsing included file '../../../../src/seq/uvm_sequence_library.svh'.
Back to file '../../../../src/seq/uvm_seq.svh'.
Parsing included file '../../../../src/seq/uvm_sequence_builtin.svh'.
Back to file '../../../../src/seq/uvm_seq.svh'.
Back to file '../../../../src/uvm_pkg.sv'.
Parsing included file '../../../../src/tlm2/uvm_tlm2.svh'.
Parsing included file '../../../../src/tlm2/uvm_tlm2_defines.svh'.
Back to file '../../../../src/tlm2/uvm_tlm2.svh'.
Parsing included file '../../../../src/tlm2/uvm_tlm2_time.svh'.
Back to file '../../../../src/tlm2/uvm_tlm2.svh'.
Parsing included file '../../../../src/tlm2/uvm_tlm2_generic_payload.svh'.
Back to file '../../../../src/tlm2/uvm_tlm2.svh'.
Parsing included file '../../../../src/tlm2/uvm_tlm2_ifs.svh'.
Back to file '../../../../src/tlm2/uvm_tlm2.svh'.
Parsing included file '../../../../src/tlm2/uvm_tlm2_imps.svh'.
Back to file '../../../../src/tlm2/uvm_tlm2.svh'.
Parsing included file '../../../../src/tlm2/uvm_tlm2_ports.svh'.
Back to file '../../../../src/tlm2/uvm_tlm2.svh'.
Parsing included file '../../../../src/tlm2/uvm_tlm2_exports.svh'.
Back to file '../../../../src/tlm2/uvm_tlm2.svh'.
Parsing included file '../../../../src/tlm2/uvm_tlm2_sockets_base.svh'.
Back to file '../../../../src/tlm2/uvm_tlm2.svh'.
Parsing included file '../../../../src/tlm2/uvm_tlm2_sockets.svh'.
Back to file '../../../../src/tlm2/uvm_tlm2.svh'.
Back to file '../../../../src/uvm_pkg.sv'.
Parsing included file '../../../../src/reg/uvm_reg_model.svh'.
Parsing included file '../../../../src/reg/uvm_reg_item.svh'.
Back to file '../../../../src/reg/uvm_reg_model.svh'.
Parsing included file '../../../../src/reg/uvm_reg_adapter.svh'.
Back to file '../../../../src/reg/uvm_reg_model.svh'.
Parsing included file '../../../../src/reg/uvm_reg_predictor.svh'.
Back to file '../../../../src/reg/uvm_reg_model.svh'.
Parsing included file '../../../../src/reg/uvm_reg_sequence.svh'.
Back to file '../../../../src/reg/uvm_reg_model.svh'.
Parsing included file '../../../../src/reg/uvm_reg_cbs.svh'.
Back to file '../../../../src/reg/uvm_reg_model.svh'.
Parsing included file '../../../../src/reg/uvm_reg_backdoor.svh'.
Back to file '../../../../src/reg/uvm_reg_model.svh'.
Parsing included file '../../../../src/reg/uvm_reg_field.svh'.
Back to file '../../../../src/reg/uvm_reg_model.svh'.
Parsing included file '../../../../src/reg/uvm_vreg_field.svh'.
Back to file '../../../../src/reg/uvm_reg_model.svh'.
Parsing included file '../../../../src/reg/uvm_reg.svh'.
Back to file '../../../../src/reg/uvm_reg_model.svh'.
Parsing included file '../../../../src/reg/uvm_reg_indirect.svh'.
Back to file '../../../../src/reg/uvm_reg_model.svh'.
Parsing included file '../../../../src/reg/uvm_reg_fifo.svh'.
Back to file '../../../../src/reg/uvm_reg_model.svh'.
Parsing included file '../../../../src/reg/uvm_reg_file.svh'.
Back to file '../../../../src/reg/uvm_reg_model.svh'.
Parsing included file '../../../../src/reg/uvm_mem_mam.svh'.
Back to file '../../../../src/reg/uvm_reg_model.svh'.
Parsing included file '../../../../src/reg/uvm_vreg.svh'.
Back to file '../../../../src/reg/uvm_reg_model.svh'.
Parsing included file '../../../../src/reg/uvm_mem.svh'.
Back to file '../../../../src/reg/uvm_reg_model.svh'.
Parsing included file '../../../../src/reg/uvm_reg_map.svh'.
Back to file '../../../../src/reg/uvm_reg_model.svh'.
Parsing included file '../../../../src/reg/uvm_reg_block.svh'.
Back to file '../../../../src/reg/uvm_reg_model.svh'.
Parsing included file '../../../../src/reg/sequences/uvm_reg_hw_reset_seq.svh'.
Back to file '../../../../src/reg/uvm_reg_model.svh'.
Parsing included file '../../../../src/reg/sequences/uvm_reg_bit_bash_seq.svh'.
Back to file '../../../../src/reg/uvm_reg_model.svh'.
Parsing included file '../../../../src/reg/sequences/uvm_mem_walk_seq.svh'.
Back to file '../../../../src/reg/uvm_reg_model.svh'.
Parsing included file '../../../../src/reg/sequences/uvm_mem_access_seq.svh'.
Back to file '../../../../src/reg/uvm_reg_model.svh'.
Parsing included file '../../../../src/reg/sequences/uvm_reg_access_seq.svh'.
Back to file '../../../../src/reg/uvm_reg_model.svh'.
Parsing included file '../../../../src/reg/sequences/uvm_reg_mem_shared_access_seq.svh'.
Back to file '../../../../src/reg/uvm_reg_model.svh'.
Parsing included file '../../../../src/reg/sequences/uvm_reg_mem_built_in_seq.svh'.
Back to file '../../../../src/reg/uvm_reg_model.svh'.
Parsing included file '../../../../src/reg/sequences/uvm_reg_mem_hdl_paths_seq.svh'.
Back to file '../../../../src/reg/uvm_reg_model.svh'.
Back to file '../../../../src/uvm_pkg.sv'.
Back to file '../../../../src/uvm.sv'.
Parsing design file 'ubus_tb_top.sv'
Parsing included file '../sv/ubus_pkg.sv'.
Parsing included file '../../../../src/uvm_macros.svh'.
Back to file '../sv/ubus_pkg.sv'.
Parsing included file '../sv/ubus_transfer.sv'.
Back to file '../sv/ubus_pkg.sv'.
Parsing included file '../sv/ubus_master_monitor.sv'.
Back to file '../sv/ubus_pkg.sv'.
Parsing included file '../sv/ubus_master_sequencer.sv'.
Back to file '../sv/ubus_pkg.sv'.
Parsing included file '../sv/ubus_master_driver.sv'.
Back to file '../sv/ubus_pkg.sv'.
Parsing included file '../sv/ubus_master_agent.sv'.
Back to file '../sv/ubus_pkg.sv'.
Parsing included file '../sv/ubus_slave_monitor.sv'.
Back to file '../sv/ubus_pkg.sv'.
Parsing included file '../sv/ubus_slave_sequencer.sv'.
Back to file '../sv/ubus_pkg.sv'.
Parsing included file '../sv/ubus_slave_driver.sv'.
Back to file '../sv/ubus_pkg.sv'.
Parsing included file '../sv/ubus_slave_agent.sv'.
Back to file '../sv/ubus_pkg.sv'.
Parsing included file '../sv/ubus_bus_monitor.sv'.
Back to file '../sv/ubus_pkg.sv'.
Parsing included file '../sv/ubus_env.sv'.
Back to file '../sv/ubus_pkg.sv'.
Back to file 'ubus_tb_top.sv'.
Parsing included file 'dut_dummy.v'.
Back to file 'ubus_tb_top.sv'.
Parsing included file '../sv/ubus_if.sv'.
Back to file 'ubus_tb_top.sv'.
Parsing included file 'test_lib.sv'.
Parsing included file 'ubus_example_tb.sv'.
Parsing included file 'ubus_example_scoreboard.sv'.
Back to file 'ubus_example_tb.sv'.
Parsing included file '../sv/ubus_master_seq_lib.sv'.
Back to file 'ubus_example_tb.sv'.
Parsing included file 'ubus_example_master_seq_lib.sv'.
Back to file 'ubus_example_tb.sv'.
Parsing included file '../sv/ubus_slave_seq_lib.sv'.
Back to file 'ubus_example_tb.sv'.
Back to file 'test_lib.sv'.
Back to file 'ubus_tb_top.sv'.
Top Level Modules:
       ubus_tb_top
TimeScale is 1 ns / 1 ns
Notice: Ports coerced to inout, use -notice for details
Starting vcs inline pass...
7 modules and 0 UDP read.

However, due to incremental compilation, only 2 modules need to be compiled.
recompiling package uvm_pkg because:

This module or some inlined child module(s) has/have been modified.
recompiling module ubus_tb_top because:

This module or some inlined child module(s) has/have been modified.
Both modules done.
make[1]: Entering directory `/home/centos/project/lib/uvm-1.1a/examples/integrated/ubus/examples/csrc'
g++ -w  -pipe -DVCS -O -I/opt/synopsys/vcs/include    -c ../../../../../src/dpi/uvm_dpi.cc
make[1]: g++: Command not found
make[1]: *** [uvm_dpi.o] Error 127
make[1]: Leaving directory `/home/centos/project/lib/uvm-1.1a/examples/integrated/ubus/examples/csrc'
Make exited with status 2
CPU time: 8.542 seconds to compile + .027 seconds to elab + .030 seconds to link
make: *** [comp] Error 2
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 05:24 , Processed in 0.013906 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表