在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: oscillator_cn1

[求助] HELP:什么情况下仿真会在“0”时刻停止?

[复制链接]
发表于 2014-9-22 16:19:34 | 显示全部楼层
回复 1# oscillator_cn1


    怎么解决的呢?
发表于 2014-9-22 17:27:22 | 显示全部楼层
回复 11# 飞扬紫百合

看9楼,这种情况很多时候是phase没有raise起来,可以再env的run_phase或者case的run_phase里面显式的phase.raise_objection,不过注意在0时刻就要做这件事
发表于 2014-12-1 21:33:41 | 显示全部楼层
一般情况只在sequence中才raise_objection,不建议在UVM测试平台的其他地方raise_objection,
发表于 2017-2-23 11:16:33 | 显示全部楼层
回复 10# oscillator_cn1


   你这么最后是怎么解决的呢,我现在也遇到了这个问题,新手上路,多多指教
发表于 2017-3-11 11:36:21 | 显示全部楼层
应该是进入了死循环,查看下initial块和while中是否有无延迟的语句。另外,加上UVM_PHASE_TRACE和UVM_OBJECTION_TRACE查看PHASE和OBJECTION的运行。
发表于 2017-8-15 15:02:22 | 显示全部楼层
楼主最后是怎么解决这个问题的啊
发表于 2017-8-15 15:03:31 | 显示全部楼层
回复 14# 346995926tao


   我也遇到了这个问题,请问你解决了吗
发表于 2017-12-4 20:11:10 | 显示全部楼层
我也遇到了,折腾了几天了没找到原因,楼主最后解决了没?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 04:11 , Processed in 0.021249 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表