|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
- library ieee;
- use ieee.std_logic_1164.all;
- entity key is
- port(
- led_com :out std_logic;---数码管电源
- led_sig: out std_logic_vector(2 downto 0);
- led_out : out std_logic_vector(7 downto 0);----数码管显示
- key_in:in std_logic_vector(7 downto 0);----按键输入
- );
- end key;
- architecture a of key is
- signal key_ck : std_logic_vector(2 downto 0);
- begin
- ----检测按下哪一个按键
- process(key_in)
- begin
- case key_in is
- when "01110111"=>
- key_ck<="001";
- when "01111011"=>
- key_ck<="010";
- when "01111101"=>
- key_ck<="011";
- when "01111110"=>
- key_ck<="100";
- when others=>
- key_ck<="000";
- end case;
- end process;
- --数码管数字选择
- with key_ck select
- led_out<="11111100" when "000",
- "01100000" when "001",
- "11011010" when "010",
- "11110010" when "011",
- "01100110" when "100",
- "00000000" when others;
- led_sig<="111";
- led_com<='1';
复制代码
请问各位我的电路这样接当我按下左上第一个按键,数码管应该是输出1第二个是输出2.... 但是为什么按了都没有反应呢?谢谢 |
|