在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4649|回复: 9

[解决] Design Compiler 中RAM模型最终在工艺库中如何实现

[复制链接]
发表于 2011-12-19 21:22:11 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
大侠们,请教个问题,Design Compiler 中RAM模型最终在工艺库中如何实现?也就是说Design Compiler在RAM模型最终被综合到工艺库中是什么样子?谢谢……大家!
发表于 2011-12-19 21:56:38 | 显示全部楼层
一般情况你要先用memory compiler生成你要的memory作为primitive,放在link库里.
 楼主| 发表于 2011-12-20 08:08:56 | 显示全部楼层
回复 2# johnsonzhxun
Memory Compiler生成的ram或rf是有限制的,正是由于这些限制导致了我们目前无法用Memory Compiler来生成;我猜测DC中的ram模型库最终会综合成用register 或者是latch来实现ram。

谢谢你的回答!
发表于 2011-12-20 08:39:38 | 显示全部楼层
RAM的工艺库不是工艺厂商提供lib文件的吗?
发表于 2012-9-22 10:59:02 | 显示全部楼层
同样的疑问
发表于 2012-9-25 14:23:59 | 显示全部楼层
库是分两大类的,一种是专门为foundry的某个工艺制作std cell的,比如Faraday和以前的Artisan。另一类是一些IP,比如SRAM, PLL, DLL。SRAM等存储IP一般通过foundry的memory compiler自己生成,其余analog IP则是foundry经过工艺验证后通过abstract等工具提供的一个black box。
发表于 2012-9-26 23:38:19 | 显示全部楼层
简单讲就是先挖个坑,倒时放个萝卜。库文件会告诉你这个坑要挖多大。
发表于 2012-12-12 18:03:14 | 显示全部楼层
afd :v
发表于 2012-12-13 10:18:34 | 显示全部楼层
回复 6# dandan58


    那请问,我怎么知道我所有的工艺库是哪一种呢?我在用的工艺库并没有提供想memory compiler这样的软件,我学习dc的时候就是写个rom的程序,综合也通过了~~
发表于 2013-5-1 17:57:44 | 显示全部楼层
具体情况具体分析
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-27 09:02 , Processed in 0.033409 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表