在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
EETOP诚邀模拟IC相关培训讲师 创芯人才网--重磅上线啦!
收藏本版 (21) |订阅

射频微波论坛 今日: 0|主题: 3369|排名: 45 

版主: jinlilijin
[资料] HFSS天线设计_李明洋 attachment  ...23 awu19 2016-12-9 214987 edison0217 2020-2-2 13:37
[求助] 设计高效率功率放大器应该着重学习哪些知识,有哪些经典的国内国外教材?  ...2 pokerfsaw 2016-8-16 104993 刘大大 2020-1-31 15:15
[求助] 问一个关于ADS版图仿真端口类型的问题 attach_img petannie 2020-1-6 11622 空之晟 2020-1-13 12:05
[求助] 请教各位ads layout导入到cst出现layer顺序错乱的问题该怎么解决? 新人帖 王盛960910 2020-1-3 01168 王盛960910 2020-1-3 17:43
[求助] 大信号匹配和小信号匹配的区别 yesoili 2018-4-27 42722 wkfr 2019-12-28 02:17
[求助] 砷化镓,锗硅,cmos噪声系数 jkli022 2011-9-12 63917 hujiaomianhao 2019-12-19 09:14
[求助] 高手帮忙看看这个低通滤波器 新人帖 attach_img ash401 2019-12-17 11327 ash401 2019-12-18 10:03
[求助] 求个CREE公司ADS模型,麻烦大神了! 新人帖 hawkzhj 2019-12-5 11508 hawkzhj 2019-12-6 15:43
[求助] 高频下源极跟随器负阻抗 appleyuchi2 2013-4-16 22844 VAEEE 2019-12-6 09:58
[讨论] 华为上研所无线射频技术  ...2 刘小新 2016-10-21 166559 端木文武 2019-11-24 09:39
[求助] ADS2017仿真遇到错误,请大神赐教 attach_img adcbaa 2019-11-19 01302 adcbaa 2019-11-19 21:31
[资料] HFSS教材 attachment  ...23 peterjiang 2017-4-17 234136 zhouguodan 2019-11-19 14:50
[求助] 噪声系数与单端口电路噪声温度的关系 氢氧化铜与乙醇 2019-11-19 01160 氢氧化铜与乙醇 2019-11-19 11:23
[求助] SystemVue 2018只能一次成功 hube027 2019-11-3 01881 hube027 2019-11-3 22:02
[资料] 振荡电路的设计与应用 attachment lixia1314 2019-10-29 01459 lixia1314 2019-10-29 15:31
[原创] 综合器介绍 新人帖 lixia1314 2019-10-29 02086 lixia1314 2019-10-29 10:39
[求助] 请问一下有人用过inductor toolkit吗 GeminiG 2019-1-18 11509 abcdwc2016 2019-10-22 18:58
[讨论] 宽带低噪放的增益平坦度和回波损耗怎么折衷 petannie 2019-10-17 01513 petannie 2019-10-17 13:10
[原创] 东南大学机械工程系电磁兼容研究室_25MHz~2GHz的宽带天线的设计与原理 attachment  ...23456 1584237467 2015-8-22 5510753 tellingstory 2019-10-17 09:00
[原创] Linear Circuit Design Handbook, Analog Devices2008 attachment nongteng 2019-10-13 01664 nongteng 2019-10-13 16:50
[讨论] ADS co-simulator momentum仿真问题  ...2 cilien110 2010-9-1 125112 fishflying1317 2019-10-11 06:11
[求助] CST 2017安装问题 fishflying1317 2019-10-11 01429 fishflying1317 2019-10-11 06:03
[解决] 重金求助(微带线功放调试) 去少年宫 2017-12-17 11597 dannymu 2019-9-25 09:35
[原创] 用于网络分析和仪器校准 易捷测试 2019-9-17 01398 易捷测试 2019-9-17 16:33
[原创] FIR数字滤波器的FPGA实现 attachment kalwinlue000 2018-12-9 51944 zluantt 2019-9-16 11:20
反射系数、驻波比、S参数之间的关系!  ...23456..7 hyz 2009-11-24 6917078 xgzu0621 2019-9-13 11:57
[原创] 滤波器 阻抗匹配 谐振电路 ldj快乐飞 2012-12-25 42296 i0977454522 2019-9-12 11:51
[求助] 求superfilter的key attach_img tong198741 2014-11-16 12026 hxji 2019-9-10 14:28
[原创] 有optiFDTD软件的破解版么?跪求 yuanzijian7 2011-12-2 22831 ronehwa 2019-9-5 15:47
[求助] impedance matching utility vs simth chart utility spectre.rf 2013-3-7 22094 胭脂盗 2019-9-3 17:26
[求助] SOI工艺,用cadence仿真混频器噪声时,噪声里的rbody是什么产生的 lzwwmx 2017-1-7 42085 gavid1128 2019-8-30 09:58
[求助] 有关用HFSS设计功分器的毕业设计 ksdslhw123 2013-3-26 98080 Arvinliu 2019-8-23 14:29
[求助] 【新人求助】hfss如何仿真求截止频率 132645 2015-10-26 73465 Arvinliu 2019-8-23 14:21
[求助] HFSS中增加新材料  ...2 竹韵 2013-9-9 1010336 Arvinliu 2019-8-23 14:13
[求助] 使用HFSS仿真完全相同的两个结构,为何特征阻抗完全不同呢? attachment w0renshu 2015-3-24 63515 Arvinliu 2019-8-23 14:05
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 04:14 , Processed in 0.023381 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块