在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 18320|回复: 76

[原创] Low Power Design 经典书籍集合

[复制链接]
发表于 2017-8-14 10:59:43 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
1.《Power Distribution Network Design For VLSI》A hands-on troubleshooting guide for VLSI network designers
The primary goal in VLSI (very large scale integration) power network design is to provide enough power lines across a chip to reduce voltage drops from the power pads to the center of the chip. Voltage drops caused by the power network's metal lines coupled with transistor switching currents on the chip cause power supply noises that can affect circuit timing and performance, thus providing a constant challenge for designers of high-performance chips.
Power Distribution Network Design for VLSI provides detailed information on this critical component of circuit design and physical integration for high-speed chips. A vital tool for professional engineers (especially those involved in the use of commercial tools), as well as graduate students of engineering, the text explains the design issues, guidelines, and CAD tools for the power distribution of the VLSI chip and package, and provides numerous examples for its effective application.
Features of the text include:
* An introduction to power distribution network design
* Design perspectives, such as power network planning, layout specifications, decoupling capacitance insertion, modeling, and analysis
* Electromigration phenomena
* IR drop analysis methodology
* Commands and user interfaces of the VoltageStorm(TM) CAD tool
* Microprocessor design examples using on-chip power distribution
* Flip-chip and package design issues
* Power network measurement techniques from real silicon
The author includes several case studies and a glossary of key words and basic terms to help readers understand and integrate basic concepts in VLSI design and power distribution.

Power Distribution Network Design For VLSI.rar (4.6 MB, 下载次数: 1374 )





2.《Computer Architecture Techniques for Power-Efficiency》


Abstract

In the last few years, power dissipation has become an important design constraint, on par with performance, in the design of new computer systems. Whereas in the past, the primary job of the computer architect was to translate improvements in operating frequency and transistor count into performance, now power efficiency must be taken into account at every step of the design process.

While for some time, architects have been successful in delivering 40% to 50% annual improvement in processor performance, costs that were previously brushed aside eventually caught up. The most critical of these costs is the inexorable increase in power dissipation and power density in processors. Power dissipation issues have catalyzed new topic areas in computer architecture, resulting in a substantial body of work on more power-efficient architectures. Power dissipation coupled with diminishing performance gains, was also the main cause for the switch from single-core to multi-core architectures and a slowdown in frequency increase.

This book aims to document some of the most important architectural techniques that were invented, proposed, and applied to reduce both dynamic power and static power dissipation in processors and memory hierarchies. A significant number of techniques have been proposed for a wide range of situations and this book synthesizes those techniques by focusing on their common characteristics.

Computer Architecture Techniques for Power-Efficiency.rar (3.97 MB, 下载次数: 3393 )




3.《Designing Embedded Processors A Low Power Perspective》

As we embrace the world of personal, portable, and perplexingly complex digital systems, it has befallen upon the bewildered designer to take advantage of the available transistors to produce a system which is small, fast, cheap and correct, yet possesses increased functionality.

Increasingly, these systems have to consume little energy. Designers are increasingly turning towards small processors, which are low power, and customize these processors both in software and hardware to achieve their objectives of a low power system, which is verified, and has short design turnaround times.

Designing Embedded Processors examines the many ways in which processor based systems are designed to allow low power devices. It looks at processor design methods, memory optimization, dynamic voltage scaling methods, compiler methods, and multi processor methods. Each section has an introductory chapter to give a breadth view, and have a few specialist chapters in the area to give a deeper perspective. The book provides a good starting point to engineers in the area, and to research students embarking upon the exciting area of embedded systems and architectures.

Designing Embedded Processors A Low Power Perspective.rar (7.39 MB, 下载次数: 3531 )




4.《Low Power Methodology Manual For System-On-Chip Design》

The "Low Power Methodology Manual" (LPMM) is a comprehensive and practical guide to managing power in system-on-chip designs, critical to designers using 90-nanometer and below technology.

The authors, all low power experts, are led by Michael Keating, Synopsys Fellow and principal author of the widely adopted Reuse Methodology Manual for System-on-Chip Design, and David Flynn, arm R&D Fellow and original architect behind ARM's synthesizable cpu family and the AMBA® on-chip interconnect standard.

Combining extensive commercial experience, deep scientific understanding, silicon technology case studies, and a pragmatic approach, the authors describe design techniques which address both dynamic and static (leakage) power, including methods for power gating and dynamic voltage and frequency scaling. For each topic, the authors describe the design challenge, provide a technology foundation, and then make specific recommendations as well as a caution against design pitfalls. This book is a must-read for anyone designing, or getting ready to design, SOC's for low power applications.

Low Power Methodology Manual For System-On-Chip Design.rar (3.37 MB, 下载次数: 2296 )




5.《An ASIC Low Power Primer - Analysis, Techniques and Specification》

  • This book provides an invaluable primer on the techniques utilized in the design of low power digital semiconductor devices. Readers will benefit from the hands-on approach which starts form the ground-up, explaining with basic examples what power is, how it is measured and how it impacts on the design process of application-specific integrated circuits (ASICs). The authors use both the Unified Power Format (UPF) and Common Power Format (CPF) to describe in detail the power intent for an ASIC and then guide readers through a variety of architectural and implementation techniques that will help meet the power intent. From analyzing system power consumption, to techniques that can be employed in a low power design, to a detailed description of two alternate standards for capturing the power directives at various phases of the design, this book is filled with information that will give ASIC designers a competitive edge in low-power design.

An ASIC Low Power Primer - Analysis, Techniques and Specification.rar (3.82 MB, 下载次数: 3056 )





6.《Low Power Design Essentials》

This book contains all the topics of importance to the low power designer. It first lays the foundation and then goes on to detail the design process. The book also discusses such special topics as power management and modal design, ultra low power, and low power design methodology and flows. In addition, coverage includes projections of the future and case studies.

Low Power Design Essentials.part1.rar (10 MB, 下载次数: 386 )


Low Power Design Essentials.part2.rar (9.82 MB, 下载次数: 1834 )


发表于 2017-8-14 13:14:47 | 显示全部楼层
谢谢分享
发表于 2017-8-14 14:00:41 | 显示全部楼层
跪谢楼主!
发表于 2017-8-14 17:01:41 | 显示全部楼层
已下载,谢谢
发表于 2017-8-14 20:49:15 | 显示全部楼层
都是好书,非常感谢分享
发表于 2017-8-15 02:54:54 | 显示全部楼层
Good collection
发表于 2017-8-15 07:41:20 | 显示全部楼层
Good !!!!!
发表于 2017-8-15 09:24:45 | 显示全部楼层
谢楼主
发表于 2017-8-15 10:33:01 | 显示全部楼层
谢谢分享
发表于 2017-8-15 11:44:49 | 显示全部楼层
very good books for low power.
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-18 17:45 , Processed in 0.029775 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表