在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 931|回复: 0

[求助] how to change VHDL code to get rid of latch's

[复制链接]
发表于 2017-6-27 09:08:47 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
I have the following Vhdl codes for my module: and I want to get rid of the if, which
I believe generated latch. Please help to change to

clk_en_latch: PROCESS(clk_enable, clk)
  BEGIN
    IF clk = '0' THEN
      latched_clk_en <= clk_enable;
    END IF;
  END PROCESS;

I did try to change the code to the following, although I don't have any experience dealing with VHDL code


clk_en_latch: PROCESS(clk_enable, clk)
  BEGIN
    WAIT UNTIL clk'event AND clk='0';
      latched_clk_en <= clk_enable;
  END PROCESS;

but I got the error below, please help,
-------------------
    52:     WAIT UNTIL clk'event AND clk='0';
            ^^^^
[Error] Illegal wait statement: cannot appear inside process with sensitivity list
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 23:40 , Processed in 0.014221 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表