在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6695|回复: 75

[资料] 分享一个中文veriloga教程,用于ams混合仿真

[复制链接]
发表于 2023-10-29 20:16:16 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 yhd162623 于 2023-10-29 20:27 编辑


verilog-A/AMS 不是verilog!数字设计工程师到此留步,模拟和混合信号电路设计工程师请往下看。

Verilog-A/AMS可以帮助你描述模拟与混合信号电路。它可以替代SPICE书写复杂的模型,也可以使用抽象的数学算式描述精确的系统函数。SPICE模型需要运行3天的顶层,Verilog-A/AMS可以在30分钟内给你结果。
本文介绍Verilog-A/AMS的详细语法和在几种仿真器中的使用。如果你没有书写代码的习惯,没有关系,Verilog-A并不复杂,而且文中会有详细的例子。
除此之外,作者还探讨了使用Verilog-A/AMS进行系统建模的步骤,以及一些作者在使用Verilog-A中的经验和技巧。
使用Verilog-A/AMS,你才能感受什么是模拟和混合信号系统建模和验证。


书籍目录

第1章 硬件描述语言与Verilog-A/AMS
1.1 Verilog-AMS
1.2 Verilog-A
1.2.1 Verilog-AMS能做什么
1.2.2 Verilog-A/AMS的实际使用
1.3 SPICE模型
1.4 WREAL
1.5 仿真工具
第2章 构建简单模块
2.1 Verilog-A代码示例
2.1.1 注释
2.1.2 包含外部文件
2.1.3 模块声明
2.1.4 数值变量
2.1.5 模拟过程
2.1.6 赋值
2.1.7 仿真示例
2.2 简单模块描述
2.2.1 输入输出的关系
2.2.2 输入输出阻抗
2.2.3 内部节点
2.2.4 并联、串联与Branch
2.2.5 示例
2.3 条件与循环语句
2.3.1 条件语句
2.3.1.1 if语句
2.3.1.2 case语句
2.3.2 循环语句
2.3.3 genvar


2.4 模拟事件
2.4.1 initial_step 和 final_step
2.4.2 cross
2.4.3 above


2.4.4 timer
2.4.5 or
2.4.6 示例
2.5 复用模块
2.6 库和高级工具的使用
2.6.1 ADHL库
2.6.2 Model Writer
2.6.3 Schematic Model Generator
第3章 详细语法介绍和模型范例
3.1 语法
3.1.1 注释
3.1.2 命名
3.1.3 数字
3.1.4 字符串
3.1.5 换行和结束符
3.1.6 空白
3.2 变量
3.2.1 整数型
3.2.2 实数型
3.2.3 参数
3.2.4 动态参数
3.2.5 局部参数
3.2.6 genvar
3.2.7 网线和节点
3.2.8 地
3.2.9 wreal
3.2.10 分支
3.3 运算符
3.3.1 一元运算符
3.3.2 二元运算符
3.3.3 三元运算符
3.3.4 其他运算符
3.3.5 运算符优先级
3.4 数值计算函数
3.4.1 基础函数
3.4.2 三角函数3.5 模块定义与实例化
3.5.1 模块定义
3.5.2 模块实例化
3.5.3 端口对应
3.5.4 设置实例模块的参数
3.5.5 实例化基本器件
3.6 模拟事件
3.6.1 模拟事件触发
3.6.2 initial_step 和 final_step
3.6.3 cross
3.6.4 above
3.6.5 timer
3.6.6 absdelta
3.7 模拟量计算函数
3.7.1 有限指数函数
3.7.2 时间微分函数
3.7.3 时间积分函数
3.7.4 循环积分函数
3.7.5 信号微分函数
3.7.6 延时函数
3.7.7 瞬态函数
3.7.8 摆率函数
3.7.9 拉普拉斯变换函数
3.7.10 Z变换函数
3.8 仿真器函数
3.8.1 不连续点
3.8.2 仿真步长
3.8.3 limit
3.8.4 过0检测
3.8.5 仿真参数
3.8.6 获得网表节点
3.8.7 获得仿真类型
3.8.8 AC信号源
3.8.9 噪声源
3.8.10 随机数
3.8.11 带分布函数的随机数3.8.12 查表
3.8.13 输出结果
3.8.14 退出仿真
3.8.15 文件操作
3.9 自定义函数
3.10 编译器语句
3.10.1宏定义
3.10.2 宏定义判断语句
3.10.3 包含文件
3.10.4 Timescale
3.10.5 设置默认限定类型
3.10.6 默认上升和下降时间
3.10.7 重置设定
第4章 Verilog-AMS的混合信号仿真
4.1 混合信号仿真基础
4.2 模拟与数字系统的互动
4.2.1 从模拟上下文中访问数字
4.2.2 从数字上下文中访问模拟
4.2.3 在模拟上下文中检测数字事件
4.2.4 在数字上下文中检测模拟事件
4.3 连接模块
4.3.1 定义连接模块
4.3.2 自动插入连接模块
4.3.3 连接模块工作原理
第5章 系统划分与验证
5.1 系统划分
5.1.1 顶层划分
5.1.2 子模块划分
5.2 关键路径和关键模块
5.2.1 整体建模
5.2.2 使用参数
5.2.3 分离指标
5.2.4 理解混合信号仿真的限制
5.3 子模块模型
5.3.1 模型书写的一般流程
5.3.2 简单的模型最好5.3.3 让模型象模拟电路
5.4 电路与模型验证
5.4.1 验证类型
5.4.2 验证方式
5.4.3 验证工具
5.4.4 小结
第6章 高级应用
6.1 网表和模块实例
6.1.1 切换代码文件
6.1.2 模型的cornor
6.2 用Verilog-A/AMS做验证平台
6.3 瞬态,S域与Z域
6.3.1 瞬态模型和S域函数模型
6.3.2 Z域函数的使用
6.4 随机数
6.4.1 瞬态仿真中的随机性
6.4.2 Monte Carlo 仿真中的随机数
6.5 如何使用调试与输出
6.5.1 查看参数
6.5.2 关闭调试信息
6.5.3 运行时输出信息
6.5.4 输出信息至文件
6.6 收敛与稳定性问题
6.6.1 排除错误
6.6.2 初始态收敛问题
6.6.3 上电或不上电
6.6.4 设定电压而非电流
6.6.5 输入输出阻抗
6.6.6 内部限制
6.6.7 反馈
6.7 高级仿真中的Verilog-A/AMS

Verilog-AAMS系统设计与仿真.part1.rar

1 MB, 下载次数: 1055 , 下载积分: 资产 -2 信元, 下载支出 2 信元

Verilog-AAMS系统设计与仿真.part2.rar

1 MB, 下载次数: 910 , 下载积分: 资产 -2 信元, 下载支出 2 信元

Verilog-AAMS系统设计与仿真.part3.rar

1 MB, 下载次数: 894 , 下载积分: 资产 -2 信元, 下载支出 2 信元

Verilog-AAMS系统设计与仿真.part4.rar

286 KB, 下载次数: 896 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2023-10-30 07:51:49 | 显示全部楼层
此帖仅作者可见
发表于 2023-10-30 09:29:39 | 显示全部楼层
此帖仅作者可见
发表于 2023-10-30 09:34:45 | 显示全部楼层
此帖仅作者可见
发表于 2023-10-30 09:41:36 | 显示全部楼层
此帖仅作者可见
发表于 2023-10-30 09:49:58 | 显示全部楼层
此帖仅作者可见
发表于 2023-10-30 09:50:59 | 显示全部楼层
此帖仅作者可见
发表于 2023-10-30 10:54:58 | 显示全部楼层
此帖仅作者可见
发表于 2023-10-30 11:05:34 | 显示全部楼层
此帖仅作者可见
发表于 2023-10-30 11:45:03 | 显示全部楼层
此帖仅作者可见
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 17:08 , Processed in 0.029011 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表