在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: hermit2008

FPGA的上电复位?

[复制链接]
发表于 2010-12-11 15:00:03 | 显示全部楼层
学习了
发表于 2021-4-1 15:26:03 | 显示全部楼层
学习了
发表于 2021-4-13 16:49:17 | 显示全部楼层
fpga加载完成以后,可以手写一段代码,用外部输入时钟计数,比如计到100,来个复位信号。
发表于 2021-5-22 15:06:25 | 显示全部楼层
FPGA通常采用的复位方式:
1.全局复位引脚(注意是一个专用引脚,如果有的话)
2.采用普通引脚引入复位信号,比如说按键。(产品中不建议)
3.内部自己产生一个复位信号。

无论采用何种复位信号,都需要注意:
1.异步复位或同步复位方式的选择。
2.复位信号上的毛刺的去除。
3.复位信号的驱动能力的考虑。

在实际大型设计里,一般需要考虑不同模块之间的复位顺序和时序,一般采用异步复位,同步释放的方式,一般需要在本地模块复位“异步复位,同步释放”的复位控制模块来保证复位时序要求。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 15:54 , Processed in 0.026541 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表