在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2130|回复: 4

[求助] STA中的三态使能弧的理解

[复制链接]
发表于 2021-9-18 15:17:28 | 显示全部楼层 |阅读模式
380资产
三态使能时序弧用来表示三态逻辑单元从使能信号有效到输出的延时信息。
  三态使能时序弧中根据使能信号位有效与无效的不同,在时序信息文件中分别通过状态信息three_state_enable和three_state_disable进行声明。
        timing_type : three_state_enable;
        timing_type : three_state_disable;
three_state_disable这条命令如何理解?
如果两个带有相反使能端(en1接en2b,en1b接en2)的选择器,输出连接在一起,使能端非0即1,输出一直有非高阻态状态输出,这时仍有disable,是什么情况?

发表于 2021-9-22 10:10:31 | 显示全部楼层
我觉得three_state_disable 应该是从高阻到正常输出的时序状态
发表于 2021-9-22 10:11:18 | 显示全部楼层
我觉得 three_state_disable 应该是从高阻到正常输出的时序状态
 楼主| 发表于 2021-9-24 17:15:27 | 显示全部楼层


phoenixson 发表于 2021-9-22 10:10
我觉得three_state_disable 应该是从高阻到正常输出的时序状态


three_state_disable 应该是从高阻到正常输出的时序状态因为使能端是相反的非0即1,输出是非A即B,应该没有高阻状态的产生。
发表于 2022-10-16 16:28:45 | 显示全部楼层
非常感谢提供!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 17:22 , Processed in 0.019695 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表