在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2140|回复: 5

[求助] 请教一下 verdi 有没有办法 dump 某个事件发生前的一段波形?

[复制链接]
发表于 2021-2-23 16:57:10 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
FPGA 测试时发现错误,需要用仿真复现一下;由于仿真时间太久,为了防止波形太大,只想 dump 出现错误时的那段波形,通过检测错误标志信号,控制 dump 该信号出现前一段时间的波形,verdi 该怎么设置?
发表于 2021-2-23 17:05:42 | 显示全部楼层
tb里检测到错误标志 $fsdbDumpflush
发表于 2021-2-24 20:45:54 | 显示全部楼层
如果愿意牺牲速度的话,可以将整个波形时间划分为很多个时间片,每次dump一个时间片的波形,dump完了检查对应错误标志,如果错误标志没有被置起来,则删除本次波形,重新dump,如果标志起来了,则保留本次波形。自己估算一下时间片大小。
 楼主| 发表于 2021-3-22 14:15:21 | 显示全部楼层


zhangdeshuai 发表于 2021-2-24 20:45
如果愿意牺牲速度的话,可以将整个波形时间划分为很多个时间片,每次dump一个时间片的波形,dump完了检查对 ...


仿真环境里怎么设置?
发表于 2021-3-30 17:11:00 | 显示全部楼层
使用fsdbAutoSwitchDumpfile就可以
发表于 2021-3-31 10:51:52 | 显示全部楼层


wenjohnny 发表于 2021-3-22 14:15
仿真环境里怎么设置?


tcl 写,dump波形,run固定时间,然后读错误信号,如果没有被置起就把之前的波形删了,再开启dump,然后再run一段时间。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 06:13 , Processed in 0.029065 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表