|
发表于 2020-12-31 16:46:42
|
显示全部楼层
`timescale 1ns/1ps //时间精度
`define Clock 20 //时钟周期
module tb();
reg clk;
reg rst;
reg a;
reg c;
wire b;
my_design U_design(
.clk(clk),
.rst(rst),
.a(a),
.b(b),
.c(c));
initial begin
clk = 1;
forever
#(`Clock/2) clk = ~clk;
end
initial begin
rst = 0; #(`Clock*20);
rst = 1;
end
initial
begin
a=0;
c=0;
#(`Clock*30) a=1;
#(`Clock*10) a=0;
#(`Clock*10) a=0;
#(`Clock*10) a=1;
#(`Clock*40) c=1;
#(`Clock*100) $finish;
end
initial
begin
$fsdbDumpfile("tb.fsdb");
$fsdbDumpvars(0,"tb");
end
endmodule |
|