在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3902|回复: 3

[求助] 新手求教vivado时序约束不满足怎么处理?

[复制链接]
发表于 2020-7-8 23:09:30 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 woodstock 于 2020-7-8 23:12 编辑

新手请问怎么使时序满足要求?是不是跨时钟域的问题?我查网上说可以用异步ram读写来解决,可是我写了如下代码并不起作用。设计卡在这里很久了,真的不知道怎么处理。链接:https://pan.baidu.com/s/1gtzWsRvKamth-_UwWqcy-g 提取码:tglc 这个是我的时序报告。




  1. module uart_asyn_ram(
  2.     input wire wr_clk,
  3.     input wire wr_rst,
  4.     input wire wr_uart_read_ce,
  5.     input wire wr_uart_write_ce,
  6.     input wire [7:0] wr_uart_wdata,

  7.     input wire rd_clk,
  8.     input wire rd_rst,
  9.     output reg rd_uart_read_ce,
  10.     output reg rd_uart_write_ce,
  11.     output reg [7:0] rd_uart_wdata
  12. );

  13. reg t_uart_read_ce;
  14. reg t_uart_write_ce;
  15. reg [7:0] t_uart_wdata;

  16. always @(posedge wr_clk) begin
  17.     if (wr_rst == 1'b0) begin
  18.         t_uart_read_ce <= wr_uart_read_ce;
  19.         t_uart_write_ce <= wr_uart_write_ce;
  20.         t_uart_wdata <= wr_uart_wdata;
  21.     end
  22. end

  23. always @(posedge rd_clk) begin
  24.     if (rd_rst == 1'b0) begin
  25.         rd_uart_read_ce <= t_uart_read_ce;
  26.         rd_uart_write_ce <= t_uart_write_ce;
  27.         rd_uart_wdata <= t_uart_wdata;
  28.     end
  29. end

  30. endmodule


复制代码


QQ截图20200708010015.png

timing_summary.docx

52.31 KB, 下载次数: 2 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2020-7-9 12:57:24 | 显示全部楼层
不同时钟直接set false path,前提是你跨时钟域信号在rtl中已经处理好了。
 楼主| 发表于 2020-7-9 22:02:45 | 显示全部楼层


zzj0329 发表于 2020-7-9 12:57
不同时钟直接set false path,前提是你跨时钟域信号在rtl中已经处理好了。


例如写一个上面代码一样的异步双口ram吗?
发表于 2020-7-10 15:03:53 | 显示全部楼层


woodstock 发表于 2020-7-9 22:02
例如写一个上面代码一样的异步双口ram吗?


那直接在约束文件里添加语句
set_false_path -from clk0 -to clk1
set_false_path -from clk1 -to clk0
也可以在时序报告中查看违约的路径,如果不是同时钟域的,右键选择set false path,软件会自动生成以上语句
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 03:28 , Processed in 0.028589 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表