在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4412|回复: 15

[求助] formality验证问题

[复制链接]
发表于 2019-11-19 13:14:23 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
1. 单独对一个小模块进行DC综合,然后使用formality对比RTL以及对应的netlist,是可以对比通过的
2. 增加一些其他的模块,上述的小模块不做任何改动(该小模块作为工程中的一个子模块),经过DC综合以后,在使用formality对比RTL代码以及对应的netlsit,但是结果显示上述的那个原本可以验证通过的小模块中有三个信号比对不通过,

想问一下各位前辈,这个是什么问题?
因为单独对比那个小模块是可以通过的,说明应该没什么大问题,可是后续增加了其他的模块以后就不能通过了,这是什么原因导致的呢?
发表于 2019-11-19 15:25:45 | 显示全部楼层
不通过的点 trace。罗脊椎是什么,。。。扥等
 楼主| 发表于 2019-11-19 18:49:46 | 显示全部楼层


A1985 发表于 2019-11-19 15:25
不通过的点 trace。罗脊椎是什么,。。。扥等


点开逻辑锥,显示不同的地方在于两个触发器上面,一个触发器的SD端是零,另外一个触发器的SD端是一,但是追溯到前面就会涉及特别多的电路了,感觉上是综合过程中做了哪些优化,导致触发器发生了变化
发表于 2019-11-20 08:59:00 | 显示全部楼层
set dont touch...
发表于 2019-11-20 12:43:33 | 显示全部楼层


bysg312 发表于 2019-11-19 18:49
点开逻辑锥,显示不同的地方在于两个触发器上面,一个触发器的SD端是零,另外一个触发器的SD端是一,但是 ...


LEC 通常应该不比较 scan mode logic/scan path, 需要把 atpg_mode, scan_enable, scanin* 等都设置成 0, scanout 设置成 dont care/dont compare.  
如果已经有这样的设置,LEC 依然比较 SD pin, 说明 functional mode 和 scan mode 混在一起了, 有可能是设计本身的问题, 如缺了一个 scan mux 之类


 楼主| 发表于 2019-11-21 11:07:39 | 显示全部楼层


jake 发表于 2019-11-20 12:43
LEC 通常应该不比较 scan mode logic/scan path, 需要把 atpg_mode, scan_enable, scanin* 等都设置成 0 ...


为了避免SCAN带来的问题,我这一版的文件中没有SCAN,只是最原始的RTL代码(只有基本的IP功能,没有附加其他功能),但是结果仍然无法通过
发表于 2020-1-5 11:55:37 | 显示全部楼层
综合过程中出现了跨module boundary的优化。
 楼主| 发表于 2020-1-5 17:26:07 | 显示全部楼层


linibird 发表于 2020-1-5 11:55
综合过程中出现了跨module boundary的优化。


这个是什么意思?能麻烦给详细解释一下吗?

如果出现了这个问题,那应该怎么进一步解决呢?

还请大神指点一下,谢谢了
发表于 2020-1-8 11:28:24 | 显示全部楼层
带这种综合好的模块再综合的时候,一般会设dont touch,不然就会整体进行优化 导致你的子模块被改过了。
两种方法  1、按顺序吃两次的svf,一般可以过formal;2、综合的时候对子模块设dont touch
 楼主| 发表于 2020-1-10 09:42:39 | 显示全部楼层


iamno11 发表于 2020-1-8 11:28
带这种综合好的模块再综合的时候,一般会设dont touch,不然就会整体进行优化 导致你的子模块被改过了。
两 ...


好的,我按照您的建议试一试,谢谢了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 17:48 , Processed in 0.028546 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表