在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2819|回复: 5

[求助] DC综合问题

[复制链接]
发表于 2019-5-24 20:16:01 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
-----------------verilog code---------------------
module Add1(a, b, out, Sys_clk);

input a, b;
output out;
reg out;
input Sys_clk;

always @(posedge Sys_clk)
        out <= a+b;

endmodule


-----------------constrain code---------------------
start_gui
#setup the libs
set target_library ./libs/typical.db
set symbol_library ./libs/tsmc090.sdb
set link_library {./libs/tsmc090.sdb ./libs/typical.db}

#read the design
analyze -format verilog {./rtl/Add1.v}
elaborate Add1

#set current design and link
current_design Add1
link
uniquify
check_design

#set clk
create_clock -period 4 -waveform {0 2} -name Sys_clk [get_ports Sys_clk]
set_ideal_network {Sys_clk}
set_dont_touch_network [get_clocks Sys_clk]

#set input output delay
set_input_delay -max 0.2 -clock Sys_clk [all_inputs]
set_output_delay -max 0.2 -clock Sys_clk [all_outputs]

#compile the design
compile -exact_map


综合结果

综合结果

report_timing 结果不对啊。约束哪里出问题了?
 楼主| 发表于 2019-5-24 21:59:24 | 显示全部楼层
up, 求大佬点拨一下
 楼主| 发表于 2019-5-25 17:32:00 | 显示全部楼层
up....
发表于 2019-5-26 21:13:02 | 显示全部楼层
这个可以学习一下
发表于 2019-5-27 09:31:00 | 显示全部楼层
set link_library {./libs/tsmc090.sdb ./libs/typical.db}改成set link_library {*  ./libs/tsmc090.sdb ./libs/typical.db},你可以看看log,应该是有warning说unresolved reference
 楼主| 发表于 2019-5-28 20:55:48 | 显示全部楼层
问题出在库没有吃成功。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 18:54 , Processed in 0.028835 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表