在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1253|回复: 1

[求助] 如何在dve里面设置c的断点

[复制链接]
发表于 2019-4-18 14:04:43 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
tb_top.sv
import "DPI-C" function int proc(int din);
module tb_top;
int  data;

initial begin
  data = $urandom_range(0, 100);
  data = proc(data);
end
endmodule

proc.c
int proc(int din) {
  int data;

  data = din + 100;
  data = data * 10;
  return data;
}

VCS命令行:
vcs -R tb_top.sv proc.c -full64 -debug_all -sverilog -cflags -g -gui

在proc.c中设置断点,结果报错:
Note-[CBUG-NOG] No debug information
  The simulation stopped in function 'proc()', which has been compiled without
  debug information (gcc flag -g). The source code cannot be displayed.
  If possible, compile all C/C++ source code with debug info.

但是-g命令明明已经加了呀。
发表于 2019-4-19 20:30:49 | 显示全部楼层
ucli模式下cbug
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 14:42 , Processed in 0.024273 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表