在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: leijing

[求助] 关于set_clock_gating_check的问题2

[复制链接]
 楼主| 发表于 2018-6-15 15:36:35 | 显示全部楼层
回复 10# njyf4801

   这是DC user guide里面的描述,描述里说这些值是setup和hold,下面给出的例子又是set_clock_gating_check的设置的margin值。有没有这种可能:如果后面的属性是i的话,表示这些值是margin,来自DC设置,即set_clock_gating_check的设置的margin值;如果后面的属性值是l的话,表示这些值是setup和hold的值,来自lib。 微信截图_20180615153033.png
发表于 2018-6-19 15:26:07 | 显示全部楼层
回复 11# leijing


   嗯嗯,我也是这么理解的
 楼主| 发表于 2018-6-19 16:54:55 | 显示全部楼层
回复 12# njyf4801


   希望有人能给出肯定的答案
发表于 2020-10-12 10:32:54 来自手机 | 显示全部楼层
请问楼主这三个问题解决了吗
发表于 2020-10-12 13:25:44 | 显示全部楼层
你想的太复杂了,其实简单讲,clock gating check 就是一种过约,是除了uncertaintly和setup 之外额外加在reg2ICG路径上的约束。其初衷是因为ICG的时钟树通常会很短,所以setup会很容易出现问题。所以在ICG的data path上额外在加一个这样的约束。通常只会在place阶段设置,在CTS及其以后取消掉。
发表于 2020-10-16 15:41:47 | 显示全部楼层
楼上正解
发表于 2020-10-21 12:21:02 | 显示全部楼层
正好最近碰到这个问题 再补充一点吧
最近做从DC开始的项目,在DC里clock gating check的值最好设的大点,差不多半个周期
这样过约后,综合出来的的reg-gating的path就比较短了,之后进pr,timing也更容易meet
pr我们项目是在cts前设个较大的约束,之后放开,个人觉得效果最好还在在DC里设,从源头上解决
发表于 2020-11-23 11:38:29 | 显示全部楼层


Double_Mocha 发表于 2020-10-21 12:21
正好最近碰到这个问题 再补充一点吧
最近做从DC开始的项目,在DC里clock gating check的值最好设的大点, ...


nice!!
发表于 2020-11-24 16:31:41 | 显示全部楼层
学习了
发表于 2022-4-20 14:44:11 | 显示全部楼层


TOM199295 发表于 2020-10-12 13:25
你想的太复杂了,其实简单讲,clock gating check 就是一种过约,是除了uncertaintly和setup 之外额外加在r ...


请问如果使用ICG单元后,添加约束set_clock_gating_check 是只约束setup吗?还是hold也需要呢? margin应该设置成具体多少数值呢?

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-5 04:07 , Processed in 0.028606 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表