在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2368|回复: 2

[讨论] vcs function coverage

[复制链接]
发表于 2018-3-21 11:47:29 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
最近学习system verilog,写了一个简单的addr对它做function coverage,但是得到的coverage结果都为0,代码如下,cov.sample得到的结果是 1521603963(1).png 然后get_coverage的结果为

                               
登录/注册后可看大图

我用来两千次约束,get_coverage的结果应该至少是90%,但是我现在得到的结果为0.


`timescale 1ns/1ns

program automatic test(addr_io aio);


bit [2:0] a,b;

bit sum,cout;

bit [3:0] data;


class Transaction;

rand bit [2:0] a;

rand bit [2:0] b;

endclass


Transaction tr;


covergroup Coverage;

cv_a:coverpoint tr.a{bins a[]={[0:3]};}

    cv_b:coverpoint tr.b{bins b[]={[0:3]};}

    cv_sum:coverpoint sum{bins sum[]={[0:1]};}

    cv_cout:coverpoint cout{bins cout[]={[0:1]};}

    cv_data:coverpoint data{bins odata[]={[0:8]};}


    cross a,b,sum,cout,data;

    option.per_instance=1;

    option.goal=100;


endgroup:Coverage

Coverage cov;


real coverage;

initial begin

cov=new();

send();

cov.sample();

end


task send();

begin

aio.cb.rst_n<=0;

##2 aio.cb.rst_n<=1;

repeat(2000) begin

@aio.cb;

tr=new();

assert (tr.randomize());

$display("%t:Coverage total coverage is %f",$time,cov.get_coverage());

$display("a=%h b=%h",tr.a,tr.b);

end

end

endtask

endprogram
1521604067(1).png
发表于 2018-3-21 16:53:20 | 显示全部楼层
cov.sample() 應該要在 tr.randomize() 後
发表于 2018-3-21 19:19:07 | 显示全部楼层
你随机了2000次,但是只采样了一次
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-5 11:52 , Processed in 0.018926 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表