在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1766|回复: 1

[求助] questasim 总是调用自带编译好的uvm库,我想用自己下的uvm库,怎么做?

[复制链接]
发表于 2017-2-23 10:58:22 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
rt,自己也差了下manual,但是感觉还是不是很懂,上面关于resource library,只说了verilog和vhdl,关于uvm库,我想用自己的,不知道怎么修改vlog/vcom和 vsim 参数,谢谢!
发表于 2019-7-16 17:03:27 | 显示全部楼层
不懂,帮顶~~
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 10:10 , Processed in 0.024904 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表