在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3479|回复: 4

[求助] 虚接口不识别问题

[复制链接]
发表于 2016-4-12 11:45:00 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x





  1. program automatic test ();
  2. initial
  3.     begin
  4.         $display("XXXXXXXXXXXXXXXXX");
  5.         virtual Utp_tx vtx_if;
  6.     end
  7. endprogram



复制代码






  1. interface Utp_tx (input logic clk) ;
  2.     logic       i_vld  ;
  3.     logic [7:0] i_data ;
  4.    
  5.     clocking cb @(posedge clk);
  6.         output       i_vld  ;
  7.         output       i_data ;
  8.     endclocking
  9.    
  10.     modport TB_tx  (clocking cb) ;
  11.     modport DUT_tx (input       i_vld  ,
  12.                     input       i_data ) ;
  13. endinterface



复制代码


以上代码分别在test.sv和Utp_tx.sv中。运行时会报错:
** Error: D:/Project/self_study/SV/interface/test_prg.sv(6): Illegal declaration after the statement near line '4'.  Declarations must precede statements.  Look for stray semicolons.
就是在虚接口声名那一块。我试过在文件开头加`include "Utp_tx.sv",调整编译顺序等,都不行。用的是questasim 10.1破解版。
请问这是错在哪儿了?
发表于 2016-4-12 19:35:43 | 显示全部楼层
把 virtual Utp_tx vtx_if; 这一句放在initial前试一试。
发表于 2016-4-13 11:23:32 | 显示全部楼层
$display("XXXXXXXXXXXXXXXXX");
        virtual Utp_tx vtx_if;
变量声明放其他语句前面。这不是虚接口的问题。
比如如下代码:
a = 1;
b = 2;
int c;
也会编译报错。
 楼主| 发表于 2016-4-14 11:29:40 | 显示全部楼层
回复 3# 25587216


    多谢多谢!的确是这里出的问题!
发表于 2016-5-13 04:17:27 | 显示全部楼层
study
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 01:11 , Processed in 0.020943 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表