在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2408|回复: 1

[求助] SystemVerilog高手看过来。怎样将generate语句产生的一组interface传到program里。

[复制链接]
发表于 2015-7-30 15:40:26 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 orlye 于 2015-7-30 16:01 编辑

假设我有一组interface,数量由parameter spi_count决定。
在顶层是这样例化的:





  1. genvar i;
  2. generate for (i=0;i<spi_count;i++)
  3. begin:gen
  4.        spi_interface spi_if (.clk(clk));
  5. end
  6. endinterface


复制代码


现在有两个问题
1)我想把这一组spi_if全都传到一个program里去,请问program里该怎么写?
2)我想访问某个spi_if内部的东西,该怎样写?试了下,直接明确地写数字gen[0].spi_if.xxx是可以的,但是如果使用一个变量gen[k].spi_if.xxx就不认。
发表于 2015-7-30 23:48:58 | 显示全部楼层
变量只能用在generate里面,如果你只有一个program,但需要遍历所有的element,你可能需要把generate插进programl里面。做一两个试试先,然后再写成generate。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 08:58 , Processed in 0.017797 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表