在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 35031|回复: 125

[原创]modelsim:将HEX文件直接读入RAM/ROM

[复制链接]
发表于 2007-1-7 18:06:33 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
verilog只支持readmemh,在设计MCU时,如果要调试程序,需要先转换格式,很麻烦
这个程序可以将编译后HEX格式的文件直接读入ROM中,省去转换环节
稍微改改就可以嵌入到你的程序里了
参照此程序就可以在仿真时读入其它格式的文件了

modelsim版本要大于5.5

ReadHexFile.rar

521 Bytes, 下载次数: 373 , 下载积分: 资产 -2 信元, 下载支出 2 信元

ReadHexFile

 楼主| 发表于 2007-1-20 11:20:32 | 显示全部楼层

错误修改

由于MODELSIM版本问题,上个程序在6.1版时有些问题,在5.6和6.0没问题
改动如下,可兼容
第45行
code=$fscanf(fd,"%2x",dat);
改成
code=$fscanf(fd,"%2x\n",dat);

ReadHexFile.rar

521 Bytes, 下载次数: 228 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2007-6-28 19:02:04 | 显示全部楼层
不错啊 谢谢
发表于 2007-6-28 22:30:45 | 显示全部楼层
学习一下~~~
发表于 2007-6-29 17:22:13 | 显示全部楼层
貌似不错的
蚊帐
发表于 2007-6-30 18:08:07 | 显示全部楼层
赚钱先!!!
发表于 2007-7-7 10:41:26 | 显示全部楼层
不错,谢谢楼主
发表于 2007-7-9 11:18:24 | 显示全部楼层
谢谢楼主~
发表于 2007-7-9 17:03:42 | 显示全部楼层
有人知道怎样在modelsim里面使用MATLAB产生的数据吗?是不是应该保存成为一个文件(二进制?)然后转换成hex之类的?
发表于 2007-7-19 15:30:14 | 显示全部楼层
好东西
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 05:18 , Processed in 0.036164 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表