在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6754|回复: 10

[讨论] 在class中使用virtual interface前需要做的两件事情是什么?

[复制链接]
发表于 2012-5-19 00:09:57 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
面试官问道  在class里使用virtual interface前需要做什么?  


两件事情必须做, 在例化class前的?

请大家说说看法

不是很理解面试官的意图

我说 例化class,  connect interface   貌似这不是他想要的答案

谢谢了
发表于 2012-5-22 09:37:37 | 显示全部楼层
应该是1、定义interface 2、实例化这个interface
发表于 2012-5-22 18:03:39 | 显示全部楼层
同问。
发表于 2012-5-26 21:44:58 | 显示全部楼层
估计面试的是想看看你知道什么是interface什么是virtual interface.
interface 是静态的,定义后即存在,与原来的verilog中的module类似。
“无论你用或不用,我就在那里,静静等待~~”
而virtual interface,virutal~意同名字,虚的,就不是真实的,也就是说它根本不是接口,等同于c语言中的指针,“我不能告诉你你需要的东西是什么,但是我可以告诉你去哪里找~~”
定义之初,它是空的,即null,你必须告诉我,我应该指向谁,否则,我一无是处~
根据上面解释,你应该知道如何回答了吧
发表于 2012-8-12 10:45:35 | 显示全部楼层


1.定义是将接口作为一个类进行定义。

2.实例化:在RTL级的顶层中对接口进行实例化。
发表于 2012-8-12 10:48:21 | 显示全部楼层
interface 和 module是一样的, 都是静态的变量, 也就是在程序开始时, 内存中就有了其实例. 但virtual interface只是申明一个handle, 就好像一个指针一样, 可以再程序进行中进行声明, 所以class里必须是virtual interface.
发表于 2013-1-17 22:07:18 | 显示全部楼层
回复 4# ibrotherv1


    那不用virtual为什么就不行了?既然是一个指针,不用virtual的话,它是表示interface的这种类型,也应该可以用啊。但是实际上如果不用interface的时候,就会报错,这是为什么呢?
发表于 2013-1-18 14:31:52 | 显示全部楼层
坐等 高人回答
发表于 2018-1-9 20:18:17 | 显示全部楼层
回复 8# ztwhzy

应为interface a; 类似于 例化一个module,怎么可能在dynamic的 class里例化一个module?(挖坟党  莫见怪)
发表于 2018-1-9 20:56:28 | 显示全部楼层
Interesting info
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 07:18 , Processed in 0.033035 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表