在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: veron

[原创] 一个verilog关于时钟的面试题

[复制链接]
发表于 2014-9-6 15:54:14 | 显示全部楼层




   顶这个,一看就是有想法的人,这个比verilog那个好很多
发表于 2014-9-8 11:15:44 | 显示全部楼层
自恢复是不是要加复位信号
发表于 2014-11-19 18:49:28 | 显示全部楼层
长知识了,谢谢
发表于 2015-3-27 09:29:39 | 显示全部楼层
//div2
always @(posedge clk or negedge rstn)
if(~rstn)
  div2_clk <= 1'b0;
else
  div2_clk <= ~div2_clk;

//div4
parameter cnt_flip = (4>>1)-1;
always @(posedge clk or negedge rstn)
if(~rstn)
  div_cnt <= 'h0;
else if(div_cnt>=cnt_flip)  //auto recovery
  div_cnt <= 'h0;
else
  div_cnt <= div_cnt+1'b1;

always @(posedge clk or negedge rstn)
if(~rstn)
  div4_clk <= 1'b0;
else if(div_cnt==div_flip)
  div4_clk <= ~div4_clk;

So the clock latency from clk to divX_clk is tck->q
发表于 2015-3-27 09:32:57 | 显示全部楼层


好想这个skew很小,也能自恢复吧。其实也是楼上用verilog写的模四计数器也差不多,菜鸟望指点!
RainskyXiang 发表于 2011-7-15 23:11




    In logic design, it is not recommend to use clock as data.
发表于 2015-3-27 09:36:41 | 显示全部楼层


reg [1:0] cnt;
always @ ( posedge clk )
  begin
     cnt
night_cool 发表于 2011-7-5 23:52



agree with this one. It looks better.
发表于 2015-6-6 17:12:29 | 显示全部楼层
15楼简单明了
发表于 2015-6-6 17:17:36 | 显示全部楼层


好想这个skew很小,也能自恢复吧。其实也是楼上用verilog写的模四计数器也差不多,菜鸟望指点!
RainskyXiang 发表于 2011-7-15 23:11




    24楼也是很巧妙的设计
发表于 2015-8-13 15:12:11 | 显示全部楼层
回复 35# fly_haopp


   不能这么说吧。你这里是用逻辑产生时钟,你用其他方法实现的话,不是照样有这样的结果。
   在我看来,24楼的方法就挺好。
发表于 2015-8-13 15:13:20 | 显示全部楼层
回复 3# dlb05061131


   如果不考虑时钟到达寄存器的skew的话,输出时钟的skew应该只有Tco之间的差别了。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-24 17:01 , Processed in 0.035370 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表