在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: tezhong

[求助] 如何在FPGA工程内保护自己的源代码?

[复制链接]
发表于 2017-12-23 22:17:16 | 显示全部楼层
你可以換個方式,synplify 上如果可以編碼,也可以達到你的要求,但是應該會產生edf
发表于 2017-12-24 20:24:02 | 显示全部楼层
提供加密的综合网表即可
 楼主| 发表于 2017-12-25 09:54:51 | 显示全部楼层
回复 12# zhangbinsniper


    请教一下,应该用什么方式加密呢?加密后的文件还得能用vivado综合……
 楼主| 发表于 2017-12-25 09:55:46 | 显示全部楼层
回复 11# vitohong

发表于 2017-12-25 19:23:36 | 显示全部楼层
可以像altera一样,在IP中加一个定时器,2小时后失效那种,然后生成网表
发表于 2017-12-25 20:31:37 | 显示全部楼层
我所知的就综合成edf文件,也就是网表文件。但是如果真有能够通过网表推回verilog代码的工具,那估计也不保密了。但是我不知道是否有这种工具。。。
发表于 2017-12-25 23:16:05 | 显示全部楼层
回复 13# tezhong


   采用IEEE P1735标准,vivado提供了相应功能,需要对应的License才能开启该功能
 楼主| 发表于 2017-12-26 14:08:15 | 显示全部楼层
回复 17# chris2017


    好像是这样的,谢谢兄弟!
https://forums.xilinx.com/t5/Design-Entry/What-is-Xilinx-s-public-key-for-IEEE-P1735-encryption/m-p/526697
 楼主| 发表于 2017-12-26 14:12:07 | 显示全部楼层
回复 10# chris2017

您是说您这边可以提供vivado IEEE P1735 encrypt v2 license吗?
请问一下,大概会如何合作呢?
发表于 2017-12-26 21:20:18 | 显示全部楼层
回复 19# tezhong


可以发邮件给我 fpgaip@163.com,或告之你的邮箱
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-30 23:49 , Processed in 0.027945 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表