马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
×
Prime Time中进行STA 时,执行report_analysis_coverage的结果如图:
从图上可以看出untest覆盖率很高,这是什么原因造成的。进行STA脚本如下:
set_operating_conditions -analysis_type on_chip_variation -max_library IC035os142_max -max WORST -min_library IC035os142_min_-40 -min BEST_-40 read_parasitics NO_PAD/chip.spef.max #read_parasitics NO_PAD/chip.spef.min create_clock -period 100 -waveform {0 50} [get_ports clk_in] create_generated_clock -divide_by 64 -source clk_in [get_pins uut_filter/M2/clk_64] set_case_analysis 1 [get_pins uut_clk_pol/POL] set_propagated_clock [all_clocks] set_clock_uncertainty -hold 0.01 [all_clocks] set_input_delay -max 20 -clock clk_in [remove_from_collection [all_inputs] [get_ports clk_in]] set_input_delay -min 1 -clock clk_in [remove_from_collection [all_inputs] [get_ports clk_in]] set_output_delay -max 20 -clock clk_in [all_outputs] set_output_delay -min 1 -clock clk_in [all_outputs] set_driving_cell -lib_cell buffd1 -pin Z -library IC035os142_max [remove_from_collection [all_inputs] [get_ports clk_in]] set_load 1 [all_outputs] |