|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
大家好,想知道FPGA到底能不能取模运算,然后我自己编写简单的代码,仿真的时候出现点问题,余数出现延时,想知道怎么处理,谢谢!
- module shift(
- clk,
- rst_n,
- cnt,
- div,
- out
- );
- input clk;
- input rst_n;
- output [4:0] out;
- output [7:0] cnt;
- output [4:0] div;
- //---------------
- reg [7:0] cnt;
- always @ (posedge clk or negedge rst_n) begin
- if(!rst_n) begin
- cnt <= 8'd0;
- end
- else begin
- cnt <= cnt + 1'b1;
- end
- end
- //-------------------
- reg [4:0] out;
- reg [4:0] div;
- always @ (posedge clk or negedge rst_n) begin
- if(!rst_n) begin
- out <= 5'd0;
- div <= 5'd0;
- end
- else begin
- out <= {cnt >> 4};
- div <= (cnt - 16*out);
- end
- end
-
- endmodule
复制代码 |
|