在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7732|回复: 7

[求助] 关于低功耗设计的UPF文件

[复制链接]
发表于 2016-4-16 18:19:36 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
做Synopsys的Power Gating试验时出现了report_power为负值的情况。

power.png

在试验过程中,source UPF文件的时候出现了Error: Primary supply of power domain 'GPRS' cannot be set to a domain-dependent supply net when supply set handles are enabled. (UPF-541)
不知道会不会是这个原因造成的?

下面是UPF文件的代码。





  1. ## CREATE POWER DOMAIS
  2. ######################
  3. create_power_domain TOP

  4. create_supply_port VDD
  5. create_supply_port VSS

  6. create_supply_net  VDD
  7. create_supply_net  VSS

  8. connect_supply_net VDD   -ports VDD
  9. connect_supply_net VSS   -ports VSS

  10. create_power_domain GPRS  -elements GPRs

  11. create_supply_net  VDD   -domain GPRS -reuse
  12. create_supply_net  VSS   -domain GPRS -reuse

  13. create_supply_net  VDDGS  -domain GPRS

  14. ## PRIMARY POWER NETS
  15. #####################
  16. set_domain_supply_net TOP   -primary_power_net VDD   -primary_ground_net VSS
  17. set_domain_supply_net GPRS  -primary_power_net VDD   -primary_ground_net VSS


  18. ## GPRS SETUP###########################################################
  19. #############
  20. # SWITCH
  21. create_power_switch gprs_sw \
  22.   -domain GPRS \
  23.   -input_supply_port {in VDD} \
  24.   -output_supply_port {out VDDGS} \
  25.   -control_port {gprs_sd PwrCtrl/gprs_sd} \
  26.   -on_state {state2002 in {!gprs_sd}} \
  27.   -off_state {OFF {gprs_sd}}

  28. map_power_switch gprs_sw \
  29.         -domain GPRS \
  30.         -lib_cell HEADX2_RVT

  31. set_isolation gprs_iso_out \
  32.   -domain GPRS \
  33.   -isolation_power_net VDD -isolation_ground_net VSS \
  34.   -clamp_value 1 \
  35.   -applies_to outputs

  36. set_isolation_control gprs_iso_out \
  37.   -domain GPRS \
  38.   -isolation_signal PwrCtrl/gprs_iso \
  39.   -isolation_sense low \
  40.   -location parent

  41. # RETAIN
  42. set_retention gprs_ret -domain GPRS \
  43.   -retention_power_net VDD -retention_ground_net VSS

  44. set_retention_control gprs_ret -domain GPRS \
  45.   -save_signal {PwrCtrl/gprs_save low} \
  46.   -restore_signal {PwrCtrl/gprs_restore high}

  47. map_retention_cell gprs_ret \
  48.   -domain GPRS \
  49.   -lib_cells {RDFFNX1_RVT RDFFARX2_RVT RDFFNARX1_RVT \
  50.    RDFFNARX2_RVT RDFFNSRARX1_RVT RDFFNSRARX2_RVT \
  51.    RDFFNSRASRNX1_RVT  RDFFNSRASRNX2_RVT RDFFNSRASRQX1_RVT \
  52.    RDFFNSRASRQX2_RVT RDFFNSRASRX1_RVT RDFFNSRASRX2_RVT \
  53.    RDFFNSRASX1_RVT RDFFNSRASX2_RVT RDFFNSRX1_RVT \
  54.    RDFFNSRX2_RVT RDFFNX1_RVT RDFFNX2_RVT RDFFSRARX1_RVT \
  55.    RDFFSRARX2_RVT RDFFSRASRX1_RVT RDFFSRASRX2_RVT \
  56.    RDFFSRASX1_RVT RDFFSRASX2_RVT RDFFSRSSRX1_RVT  \
  57.    RDFFSRSSRX2_RVT RDFFSRX1_RVT RDFFSRX2_RVT \
  58.    RDFFX1_RVT RDFFX2_RVT RDFFARX1_RVT}


  59. # ADD PORT STATE INFO
  60. #####################
  61. add_port_state VSS          -state {GND  0}
  62. add_port_state VDD           -state {ON  0.95}
  63. #add_port_state VDDGS          -state {ON  0.95}
  64. add_port_state gprs_sw/out -state {ON  0.95} -state {OFF off}

  65. ## CREATE PST
  66. #############

  67. create_pst chiptop_pst -supplies                {VDD  gprs_sw/out }
  68. add_pst_state function1 -pst chiptop_pst -state {ON     ON    }
  69. add_pst_state sleep     -pst chiptop_pst -state {ON     OFF   }


复制代码


求大神解答!
发表于 2016-4-20 09:01:22 | 显示全部楼层
本帖最后由 xjnguiji 于 2016-4-21 09:46 编辑

GPRS 是被关断的domain, switch的输出设为GPRS domain 的primary power

set_domain_supply_net GPRS  -primary_power_net VDD   -primary_ground_net VSS
应该该为:
set_domain_supply_net GPRS  -primary_power_net VDDGS   -primary_ground_net VSS

  • create_power_switch gprs_sw \
  •   -domain GPRS \
  •   -input_supply_port {in VDD} \
  •   -output_supply_port {out VDDGS} \
发表于 2016-4-22 21:00:52 | 显示全部楼层
回复 1# uikimouse

你好,这个synopsys的power gating的lab可以分享一下吗  多谢啦
 楼主| 发表于 2016-5-1 19:57:03 | 显示全部楼层
回复 2# xjnguiji


   谢谢您的解答!其实我之前也尝试过这个方法,但是还是出现相同的错误。实验中这一步报错的语句是add_port_state VDDGS(第80行),所以把它注释掉了。是不是因为这一句才出现功率为负值这个结果呢?
发表于 2018-3-4 18:01:20 | 显示全部楼层
发表于 2022-2-24 14:17:54 | 显示全部楼层
谢谢
发表于 2022-2-24 17:47:23 | 显示全部楼层
谢谢
发表于 2022-10-11 14:13:19 | 显示全部楼层
GREAT
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-10-3 06:29 , Processed in 0.030345 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表