class rgb_pixel extends uvm_sequence_item;
`uvm_object_utils(rgb_pixel)
rand bit [7:0] red;
rand bit [7:0] green;
rand bit [7:0] blue;
function new(string name="rgb_pixel");
super.new(name);
endfunction
endclass
class rgb_seq_item extends uvm_sequence_item;
`uvm_object_utils(rgb_seq_item)
rand bit [8:0] res_width;
rand bit [8:0] res_height;
rgb_pixel m_rgb_pixel[];