在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2417|回复: 8

[求助] config_db机制

[复制链接]
发表于 2015-6-4 21:59:14 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
class driver_2 extends uvm_driver#(cmd);
   `uvm_component_utils(driver_2)
   virtual cmd_if vif;

   function new(string name, uvm_component parent);
      super.new(name, parent);
   endfunction

   virtual function void build_phase(uvm_phase phase);
      super.build_phase(phase);
      void'(uvm_config_db#(virtual cmd_if)::get(this, "", "vif", vif));
   endfunction
在driver的代码中void'(uvm_config_db#(virtual cmd_if)::get(this, "", "vif", vif));根据李强的说法是收信,在TOP_TB中 uvm_config_db#(virtual d_if)  ::set(null, "uvm_test_top.env1.drv1", "vif", iif);这相当于寄信,我不明白为什么要这样寄信收信?driver为什么是收信?往个位大牛解答?万分感谢
发表于 2015-6-5 12:50:20 | 显示全部楼层
你有没有看过uvm class reference?有没有看看uvm_config_db有哪些方法?作用是什么?
 楼主| 发表于 2015-6-5 14:59:18 | 显示全部楼层
回复 2# seabeam

看了啊?我是新手,还是有不明白的地方。在case中set值然后在component中get这个值,我不明白的是driver是驱动的,怎么还能这样用?它需要从interface上get值吗?在这里void'(uvm_config_db#(virtual cmd_if)::get(this, "", "vif", vif));和uvm_config_db#(virtual cmd_if)::get(this, "", "vif", vif)作用一样吗?谢谢了
发表于 2015-6-5 16:10:03 | 显示全部楼层
回复 3# 谁枫而飘


    driver是不是需要驱动dut呢?driver是通过interface来驱动的,既然你需要驱动dut那么连接dut的interface总得和你driver里的这个联系起来吧?config db本质上作用就是为了传东西,和直接用等号赋值效果差不多,区别是他存到关联数组里了,你可以去取get,也可以不去取
 楼主| 发表于 2015-6-5 18:04:34 | 显示全部楼层
回复 4# seabeam

这个我知道,我只是不明白为什么,driver是get而interface是set。driver是驱动dut不应该是set吗?
发表于 2015-6-5 21:18:05 | 显示全部楼层
回复 5# 谁枫而飘

快递给你一把刀,和你是用在家里切菜还是在外面砍树没有关系
 楼主| 发表于 2015-6-5 22:14:17 | 显示全部楼层
回复 6# seabeam

我想了一下,这个机制传递的是不是可以说是参数,不是数据呢?
发表于 2015-6-5 22:40:01 | 显示全部楼层
回复 7# 谁枫而飘

和我上面说的一样,他只是给了你一个东西,你要拿去驱动可以,拿去monitor也可以。
 楼主| 发表于 2015-6-5 23:12:34 | 显示全部楼层
回复 8# seabeam


   谢谢了!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 12:24 , Processed in 0.023342 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表