在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: min4max

Cadence IC616等套件以及Calibre2013的正规设置及使用,另附Jake Baker的教科书

[复制链接]
发表于 2014-11-12 18:34:18 | 显示全部楼层
在仿真中 在spectre.out窗口中出现下面的话

Warning from spectre during AHDL read-in.
     WARNING (VACOMP-2397): Compilation failed when using pipe build. Bytecode flow will be used for encrypted VerilogA, and normal file compilation will be used for unencrypted VerilogA.

Compiling ahdlcmi module library.

Error found by spectre during AHDL read-in.
     ERROR (VACOMP-1008): Cannot compile ahdlcmi module library. Check  the log file input.ahdlSimDB//3712_artist_ahdlLib_opamp_veriloga_veriloga.va.opamp.ahdlcmi/Linux-64/../ahdlcmi.out for details. If the compiler ran out of memory, use 'setenv CDS_CMI_COMPLEVEL 0', and try again. If the reason for the failure was a syntax error, contact your Cadence Customer Support representative with the netlist, log files, behavioral model files, and any other information that can help identify the problem.
     ERROR (SFE-91): Error when elaborating the instance opamp. Simulation should be terminated.

安装方法是按照 min4max 做的
我调用的时AHDL中的系统自带的用verilog编写的模型。。。我的系统是ubantu12.04  提示缺少的库 都装上了求助 各位大神 @min4max
 楼主| 发表于 2014-11-12 21:52:33 | 显示全部楼层
 楼主| 发表于 2014-11-12 22:04:31 | 显示全部楼层


回复  min4max


    楼主,您好。为什么我patch IC616时会出现
“ sudo ./cadence_patch.sh /opt/cad ...
M.tou 发表于 2014-11-10 17:48




    不清楚你的这个故障是如何产生的。你从哪儿得到的IC616?从Cadence官网(http://bbs.eetop.cn/thread-457073-1-1.html)下载安装的?我从官网下载安装的IC616经过patch没有出现过你这样的故障。你是否在patch之前启动运行过virtuoso?若在patch之前已经启动运行过virtuoso,关闭virtuoso后依然有可能会锁住有关组件,你必须重新启动机器后才能进行patch。
 楼主| 发表于 2014-11-12 22:11:51 | 显示全部楼层


在仿真中 在spectre.out窗口中出现下面的话

Warning from spectre during AHDL read-in.
     WARNING ...
ydynwpu 发表于 2014-11-12 18:34




    你没有贴上来log file,所以不清楚到底是哪里除了故障。但在网上搜索ERROR (VACOMP-1008),这两个可能对你有所帮助:
http://bbs.eetop.cn/thread-325872-1-1.html
http://community.cadence.com/cadence_technology_forums/f/38/t/20177
发表于 2014-11-13 19:21:25 | 显示全部楼层


My PVS141 works fine with these.



Can you post here the parts of the your license file (FEATUREs ) for PVS and your patch?

My ones are:
FEATURE Physical_Verification_Sys cdslmd 1000.000 permanent uncounted \
        DD242DBC67A4F88D4D14 VENDOR_STRING=Team_EFA_2006 HOSTID=ANY \
        ck=114 SIGN2="111A C716 4841 272B 7E8D 72D0 38F1 DCCE 4149 \
        5819 CB65 22E3 E83D EDB0 110F 0E74 C9DF 6C08 A5A1 CE16 3BB1 \
        E319 1B3C 9369 0C8D 3A22 C573 8105 B0E2 632C" SIGN2="111A C716 \
        4841 272B 7E8D 72D0 38F1 DCCE 4149 5819 CB65 22E3 E83D EDB0 \
        110F 0E74 C9DF 6C08 A5A1 CE16 3BB1 E319 1B3C 9369 0C8D 3A22 \
        C573 8105 B0E2 632C"
FEATURE Physical_Verification_Sys_L cdslmd 1000.000 permanent \
        uncounted AD748D5C615BE50D90BE VENDOR_STRING=Team_EFA_2006 \
        HOSTID=ANY ck=111 SIGN2="0023 38F6 6D2F E887 DCB8 D35C D4DB \
        FB41 389A BE9B 1516 1BA1 AC04 65F8 4465 0E39 2A0E BF7A B993 \
        A47C CA37 109D 99B4 D5D4 8D74 2D1A 4916 6774 038A F398" \
        SIGN2="0023 38F6 6D2F E887 DCB8 D35C D4DB FB41 389A BE9B 1516 \
        1BA1 AC04 65F8 4465 0E39 2A0E BF7A B993 A47C CA37 109D 99B4 \
        D5D4 8D74 2D1A 4916 6774 038A F398"
FEATURE Physical_Verification_Sys_XL cdslmd 1000.000 permanent \
        uncounted 9DD4FD1CA21E8C141406 VENDOR_STRING=Team_EFA_2006 \
        HOSTID=ANY ck=56 SIGN2="1105 A315 C395 5878 29EC 2682 BA86 \
        538B 0595 B18F AEE8 78CB F011 1DD6 D9A8 0E0E 2D32 4A9B 4BA3 \
        2CDB FC46 A295 2C02 804D ADA9 EC02 D9CD AE1E E24F BD4D" \
        SIGN2="1105 A315 C395 5878 29EC 2682 BA86 538B 0595 B18F AEE8 \
        78CB F011 1DD6 D9A8 0E0E 2D32 4A9B 4BA3 2CDB FC46 A295 2C02 \
        804D ADA9 EC02 D9CD AE1E E24F BD4D"
FEATURE Physical_Verification_Sys_Deb cdslmd 1000.000 permanent \
        uncounted 3DE40D1C48872E946494 VENDOR_STRING=Team_EFA_2006 \
        HOSTID=ANY ck=45 SIGN2="1387 CE4C 8E66 E633 20E0 0783 65ED \
        D97D BDE6 6C52 435F 4B48 8DFA FD31 1B56 0B93 110E FF6C 7F5F \
        BAE8 EF24 AC80 6EE5 3299 6C39 2DE7 D15F 2FEF 66B7 8B2D" \
        SIGN2="1387 CE4C 8E66 E633 20E0 0783 65ED D97D BDE6 6C52 435F \
        4B48 8DFA FD31 1B56 0B93 110E FF6C 7F5F BAE8 EF24 AC80 6EE5 \
        3299 6C39 2DE7 D15F 2FEF 66B7 8B2D"

And patch is:

./sfk rep -bin /41574989ff4156415541544989cc55534489c34881ecf80000004889742420be140000008954241c4c894c24108bac2438010000c78424ec00000000000000e8/31c0c389ff4156415541544989cc55534489c34881ecf80000004889742420be140000008954241c4c894c24108bac2438010000c78424ec00000000000000e8/ -bin /41574989ff415641554154554889cd534489c34881ec08010000488b87900200004889742428be14000000895424244c894c2418488b80381e0000448ba0300600004585e4440f44a42448010000e8/31c0c389ff415641554154554889cd534489c34881ec08010000488b87900200004889742428be14000000895424244c894c2418488b80381e0000448ba0300600004585e4440f44a42448010000e8/ -dir . -yes

And PVS14 is not working!
发表于 2014-11-13 19:27:38 | 显示全部楼层
回复 114# min4max

按大神给的链接 看了 改了相关的配置 还是没成功 附件中 上传了 仿真后的 ahdlcmi.out 文件 里面有各种错误 不知 如何改 求指点
发表于 2014-11-13 20:46:42 | 显示全部楼层
回复 111# ydynwpu
查看你的ahdlcmi.out,如果其中出现下面的错误的话,可以尝试: sudo apt-get install libstdc++5
---

In file included from /usr/include/math.h:26,
                 from opamp_func.c:20:
/usr/include/features.h:374:25: error: sys/cdefs.h: No such file or directory
In file included from opamp_func.c:20:
/usr/include/math.h:32:27: error: bits/huge_val.h: No such file or directory
。。。
In file included from opamp_func.c:21:
/usr/include/stdlib.h:67: error: expected '=', ',', ';', 'asm' or '__attribute__' before 'typedef'
/usr/include/stdlib.h:97: error: expected '=', ',', ';', 'asm' or '__attribute__' before 'typedef'
。。。

---

提示:仿真输出Error后面会提示你ahdlcmi.out在哪个文件夹下,我是在/home/用户/simulation下搜它提示的路径中的某个文件夹,然后依次按路径找到ahdlcmi.out。不要直接搜ahdlcmi.out,这样搜到的可能不是你当前输出的.out文件
发表于 2014-11-13 21:24:15 | 显示全部楼层
回复 113# min4max

谢谢楼主回复。我是在论坛里面提供的百度网盘下的,这个问题暂时不影响运行和仿真。刚开始是因为我没有把破解的license.dat放到IC616/share/license下,所以一直进不去,我以为是patch的原因,所以就把它贴出来了

还有就是楼主你提供的哪个installscape安装的时候会提示java not found,安装界面弹不出来,参考http://bbs.eetop.cn/thread-376299-7-1.html,70楼回复解决。

可能这是个例,如果有人也遇到的话,解决方法给后来人参考:
edit installscape/iscape/bin/iscape.sh and change
....
if [ "X$java_vm" = "X" ]; then
java_vm=`FindJavaInPath`;
fi;

with

if [ "X$java_vm" = "X" ]; then
java_vm=`FindJavaInPath`;
fi;
java_vm=Path_to_your_java_bin_folder     // in this case, /usr/lib/jvm/java-7-openjdk-amd64/bin/java
(如果你的java环境配置成oracle的话,java-7-openjdk-amd64要改成oracle的,具体名称到/usr/lib/jvm找)
发表于 2014-11-15 10:00:52 | 显示全部楼层
hjiml
发表于 2014-11-16 08:42:58 | 显示全部楼层
感谢分享
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-8 16:52 , Processed in 0.029071 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表