在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: ICSYS

[求助] VCS+verdi debug,VCS编译无法通过

[复制链接]
 楼主| 发表于 2014-3-19 10:21:50 | 显示全部楼层
本帖最后由 ICSYS 于 2014-3-19 12:13 编辑

回复 6# Reals_JIANG


脚本检查无误
 楼主| 发表于 2014-3-19 12:19:31 | 显示全部楼层
本帖最后由 ICSYS 于 2014-3-21 09:54 编辑

回复 10# rockyli


   #!/bin/tcsh -fset    PLATFORM=LINUX64

vcs  -P   ${PLI_DIR}/novas.tab \
           ${PLI_DIR}/pli.a  \
      -sverilog +incdir+${VCS_UVM_HOME} \
      ${VCS_UVM_HOME}/uvm_pkg.sv \
      ${VCS_UVM_HOME}/dpi/uvm_dpi.cc \
      -CFLAGS -DVCS \     -ntb_opts uvm1.0 -RI -debug_all\

simv\
发表于 2014-3-19 14:04:19 | 显示全部楼层
回复 11# ICSYS


   你想要哪个工具的环境变量设置
 楼主| 发表于 2014-3-19 14:15:27 | 显示全部楼层
本帖最后由 ICSYS 于 2014-3-21 09:52 编辑

回复 13# Reals_JIANG


环境变量都设置过了,工具启动没有问题。
发表于 2014-3-19 14:21:47 | 显示全部楼层
回复 12# ICSYS


   我用你的命令,可以编译过。   我的verdi:2013.07, vcs: 2013.06.


$ vcs -P $VERDI_HOME/share/PLI/VCS/LINUX/novas.tab $VERDI_HOME/share/PLI/VCS/LINUX/pli.a -sverilog -CFLAGS -DVCS -ntb_opts uvm -RI -fsdb_old -debug_all example.sv
发表于 2014-3-19 14:24:37 | 显示全部楼层
回复 12# ICSYS


   我用你的命令,可以编译过。   我的verdi: 2013.07, vcs:2013.06

   $ vcs -P $VERDI_HOME/share/PLI/VCS/LINUX/novas.tab $VERDI_HOME/share/PLI/VCS/LINUX/pli.a -sverilog -CFLAGS -DVCS -ntb_opts uvm -RI -fsdb_old -debug_all example.sv
发表于 2014-3-19 15:15:29 | 显示全部楼层
回复 14# ICSYS


   你所说的LD_LIBRARY_PATH的设置是指哪一个工具的,如果是verdi,那只要将它设置为verdi的bin,再与其他LD_LIBRARY_PATH并起来就行了
 楼主| 发表于 2014-3-19 16:12:40 | 显示全部楼层
本帖最后由 ICSYS 于 2014-3-19 16:19 编辑

楼上:

删掉-ntb_opts uvm -RI -fsdb_old -debug_all后才能跑过。搞不懂
发表于 2014-3-19 17:03:39 | 显示全部楼层
发表于 2014-3-19 22:36:43 | 显示全部楼层
看着像OVM库文件没有包括进来
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 06:49 , Processed in 0.023023 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表