在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 9744|回复: 11

[原创] systemverilog 如何用sample()收集功能覆盖率信息?

[复制链接]
发表于 2012-7-6 16:04:23 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
大家能不能给一个例子,我用sample收集的时候没有成功。我把我的covergourp写在了class里面
在需要收集功能覆盖的地反调用sample收集,但是没有成功,希望大家给我一个例子,多谢!
发表于 2012-7-22 14:20:36 | 显示全部楼层
这个语法蛮简单的,建议你找本书编个小例子再try一下
发表于 2012-7-23 09:23:40 | 显示全部楼层
covergroup 没有new吧?
发表于 2012-7-27 10:55:57 | 显示全部楼层
不知道你用的什么仿真工具,也许是工具软件的仿真选项问题。

我用的cadence Incisiv10.20可以收集覆盖率,希望对你有用
http://bbs.eetop.cn/thread-343642-1-1.html
发表于 2012-7-27 17:23:30 | 显示全部楼层
嗯嗯。受教了。謝謝。
发表于 2014-1-23 15:21:18 | 显示全部楼层
henyongton
发表于 2014-1-25 21:42:52 | 显示全部楼层
回复 2# xuri2009


   您好,covergroup可以收集接口信号的覆盖率吗?即将coverpoint定义为接口信号?
发表于 2014-1-26 09:54:32 | 显示全部楼层
回复 7# htj844575037


    covergroup定义为monitor中的callback,就可以收集接口信号的覆盖率
发表于 2014-1-26 11:28:21 | 显示全部楼层
回复 8# xd_hg


  您好,多谢您的讲解,但是我不太懂为什么需要call_back(新手,对此机制还不太清楚),还有就是必须是monitor中的接口信号吗?最好有一小段代码例子啊?
发表于 2015-4-4 21:02:27 | 显示全部楼层
你可以参考一下《systemverilog验证测试平台编写指南》一书
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 15:54 , Processed in 0.020647 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表