在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 16025|回复: 25

[求助] 用modelsim跑 UVM1.1的一个例子 出错,求解答

[复制链接]
发表于 2013-12-4 20:17:19 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我把UVM1.1应用指南及源代码分析 第一章的例子代码全部敲到了 modelsim 10.2 里,编译通过,仿真可以启动,但是一旦运行 run 命令,就会提示:(注意最下边的fatal)
run
# ----------------------------------------------------------------
# UVM-1.1d
# (C) 2007-2013 Mentor Graphics Corporation
# (C) 2007-2013 Cadence Design Systems, Inc.
# (C) 2006-2013 Synopsys, Inc.
# (C) 2011-2013 Cypress Semiconductor Corp.
# ----------------------------------------------------------------
#
#   ***********       IMPORTANT RELEASE NOTES         ************
#
#   You are using a version of the UVM library that has been compiled
#   with `UVM_NO_DEPRECATED undefined.
#   See http://www.eda.org/svdb/view.php?id=3313 for more details.
#
#   You are using a version of the UVM library that has been compiled
#   with `UVM_OBJECT_MUST_HAVE_CONSTRUCTOR undefined.
#   See http://www.eda.org/svdb/view.php?id=3770 for more details.
#
#       (Specify +UVM_NO_RELNOTES to turn off this notice)
#
# UVM_INFO verilog_src/questa_uvm_pkg-1.2/src/questa_uvm_pkg.sv(215) @ 0: reporter [Questa UVM] QUESTA_UVM-1.2.2
# UVM_INFO verilog_src/questa_uvm_pkg-1.2/src/questa_uvm_pkg.sv(217) @ 0: reporter [Questa UVM]  questa_uvm::init(+struct)
# UVM_FATAL @ 0: reporter [NOCOMP] No components instantiated. You must either instantiate at least one component before calling run_test or use run_test to do so. To run a test using run_test, use +UVM_TESTNAME or supply the test name in the argument to run_test(). Exiting simulation.

modelsim.zip (7.64 KB, 下载次数: 97 )
发表于 2013-12-5 17:51:06 | 显示全部楼层
Questa 10.2内建了UVM包,不需要重新编译
另外run_test要加上test名字 run_test(my_test)
或者在vsim命令里加上 +UVM_TESTNAME=my_test
 楼主| 发表于 2013-12-5 20:26:20 | 显示全部楼层
这样也不正确, 二楼可以在 modelsim 或 VCS下 跑下
发表于 2013-12-5 21:18:00 | 显示全部楼层
top中  改成这样run_test("my_case0");
在include my_case0那个文件
楼主方便加留个QQ么?我也刚学 可以交流一下
发表于 2013-12-9 11:57:20 | 显示全部楼层




  看这个FATAL的信息应该是没指定case的名字,在命令行里加上 +UVM_TESTNAME=my_case0 应该也可以。
发表于 2014-7-22 10:44:37 | 显示全部楼层


我把UVM1.1应用指南及源代码分析 第一章的例子代码全部敲到了 modelsim 10.2 里,编译通过,仿真可以启动, ...
hedayyt 发表于 2013-12-4 20:17

Thx.
发表于 2014-8-14 12:53:23 | 显示全部楼层
我也碰到同样的问题啊!
发表于 2014-9-2 10:23:32 | 显示全部楼层
我用irun跑的,编译没有问题,但是出现了个奇怪的问题,如下:
“UVM_WARNING @ 0: uvm_test_top.env [UVM_DEPRECATED] build()/build_phase() has been called explicitly, outside of the phasing system. This usage of build is deprecated and may lead to unexpected behavior.”

并且最后直接finish掉了,仿真时间上没有向前推进
--- UVM Report Summary ---

** Report counts by severity
UVM_INFO :    1
UVM_WARNING :    1
UVM_ERROR :    0
UVM_FATAL :    0
** Report counts by id
[RNTST]     1
[UVM_DEPRECATED]     1
Simulation complete via $finish(1) at time 0 FS + 179
/opt/cadence/INCISIV132/tools/methodology/UVM/CDNS-1.1d/sv/src/base/uvm_root.svh:457     $finish;
ncsim> exit

请问有碰到这种问题的吗?
发表于 2016-3-29 19:43:22 | 显示全部楼层
回复 8# xiangeryong333


   我也遇到了这个问题,请问该如何解决!
谢谢~~
发表于 2016-3-29 23:23:43 | 显示全部楼层
问题好复杂
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 09:55 , Processed in 0.037727 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表