在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2724|回复: 6

[求助] 这样的时钟怎么做约束??

[复制链接]
发表于 2013-10-14 14:13:25 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
XXXXXXXX.png

各位大侠,这是前端的一个设计,如图中所示,有一个clk_bit的门控时钟,clk_bit是由输入信号data_ana的上升沿,根据边沿检测得到的,像这种不规则的时钟,应该怎样做约束啊??、
发表于 2013-10-17 15:13:53 | 显示全部楼层
后段还要写约束?压力大了
发表于 2013-10-19 20:57:33 | 显示全部楼层
时钟可以穿过门控,因此这里按照正常的定义既可以
发表于 2013-10-19 21:04:40 | 显示全部楼层
没有规律的那些不是时钟信号从有规律周期等开始的地方,create clock
发表于 2013-10-20 11:37:25 | 显示全部楼层
截取timing 最 critical 的那段,按照有规律的波形处理
 楼主| 发表于 2013-10-21 14:50:12 | 显示全部楼层
本帖最后由 xieyuwuhen 于 2013-10-21 14:54 编辑

回复 5# 陈涛

陈版主,怎样才算critical 的了? 时间最短的?
还有,我整个系统只有一个外部输入时钟,产生的这个clk_bit时钟,我是create_clock 还是create_generated_clock  ??????
发表于 2013-10-21 16:33:33 | 显示全部楼层
我想在clk_bit generate是比较完整的。 在data_ana则 create. 需要按可能的最快频率来设定。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-29 05:31 , Processed in 0.022460 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表