| 
 | 
 
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册  
 
×
 
下面是一个简单的反相器代码, 
 
`timescale 1ns/1ps 
`celldefine 
module BT_INVX1_3V (A, G33, V33, Y); 
output Y; 
input A, V33, G33; 
wire YA; 
  not I0(YA, A); 
  specify 
    // delay parameters 
    specparam 
      tplh$A$YA = 0.05, 
      tphl$A$YA = 0.05; 
    // path delays 
    (A *> YA) = (tplh$A$YA, tphl$A$YA); 
  endspecify 
assign Y = (V33 ==1'b1 && G33 == 1'b0) ? YA : 0; 
endmodule // BT_INVX1_3V 
`endcelldefine 
 
错误提示为: 
'YA': not a module output or input 
 
本人刚接触verilog,还不太熟悉,代码是别人写的,但应该没错啊, 
不太明白这个报错是怎么回事儿。 
 
请教一下各位。 |   
 
 
 
 |