在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: tianxiayidi

[求助] 分频电路在做综合时的问题(没产生分频时钟)

[复制链接]
 楼主| 发表于 2012-12-3 13:22:46 | 显示全部楼层
回复 10# 教父

我按您说的改了create_generated_clock  [get_pins A/B/Q] -name l_clk -source { g_clk} -divide_by 10,

但还是报错Can't find object 'A/B/Q' in design 'clock_gen'.

请问您说的A、B、Q是可以随便这么写还是说让我用自己设计中的模块名称代替?但是我好像没有模块名,综合出来也没有寄存器。。。
发表于 2012-12-3 13:43:55 | 显示全部楼层
我的意思是一个例子,具体的名字还是要和模块名一致,如果你只有一个模块的话就直接写
create_generated_clock  [get_pins 模块名/时钟寄存器/Q] -name l_clk -source { g_clk} -divide_by 10,
如果只有顶层模块。 模块名就不用写了。 你会申明一个寄存器,当然我不知道你会怎么申明哈。我给你一个列子 你看看也许明白了
module div(
               clk,
               rst_n,
               clk_div
);
input clk, rst_n;
output clk_div;

reg clk_div;
always @(posedge clk or negedge rst_n)
           begin
              if(!rst_n)
                  clk_div <= 1'b0;
              else
                  clk_div <= ~ clk_div;
          end
endmodule

时钟约束:
create_clock  [get_ports clk] -name clk -period 10 -waveform {0 5}
create_generated_clock  [get_pins clk_div_reg/Q] -name clk_div -source { clk} -divide_by 10
不知道 这样你能看明白不
发表于 2012-12-3 15:54:00 | 显示全部楼层
最好能把第二个always中的if。。。else if。。。else补全,代码风格会导致很多问题
 楼主| 发表于 2012-12-4 00:01:06 | 显示全部楼层
回复 12# 教父


懂了。。。啊啊啊,我觉得我好笨呐。。。含泪拜谢~~

对了,大神能介绍一些综合方面的好的书籍么。。我也是刚刚开始做综合,好多基本的都不懂。。。
 楼主| 发表于 2012-12-4 00:04:20 | 显示全部楼层
回复 13# tjzcl


  恩恩,加上了~
发表于 2012-12-5 12:03:19 | 显示全部楼层
可以看得出你使用触发器生成一个10分频的时钟
你可以这样定义该生成时钟:
create_generated_clock  -name l_clk -source g_clk -divide_by 10 [get_pins I_clk_reg/Q]
发表于 2012-12-7 18:09:23 | 显示全部楼层
LZ能否把最后正确的脚本和verilog代码贴出来,让大家学习下。
看了上面一些大侠的评论,大概了解了到,就是在create_generated_clock的时候,要get寄存器的Q端,
但为什么不能get最后的output呢????难道中间又插入了啥啥逻辑。。。。
请大牛指点。。。。
发表于 2013-7-1 23:55:15 | 显示全部楼层
如果再加一个clk_div_2,即always @(posedge clk_div or negedge rst_n)
           begin
              if(!rst_n)
                  clk_div_2 <= 1'b0;
              else
                  clk_div_2 <= ~ clk_div_2;
          end
那么create_generated_clock  [get_pins clk_div_2_reg/Q] -name clk_div_2 -source { clk_div} -divide_by 2  这样写对吗?
发表于 2013-7-1 23:59:07 | 显示全部楼层
create_generated_clock  [get_pins clk_div_2_reg/Q] -name clk_div_2 -source { clk} -divide_by 4  那这个对不对?
发表于 2014-9-26 08:58:24 | 显示全部楼层
:)
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-28 17:26 , Processed in 0.020672 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表