在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2537|回复: 3

[求助] 求助:lib.v里边延迟的含义

[复制链接]
发表于 2012-7-15 22:50:26 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
求助,小弟刚开始接触DC和后仿的概念。看.lib.v文件中关于cell的定义,例如一个与门:`timescale 10ps / 1ps

//-- FTC standard cell revision 1.1 --//
`resetall
`timescale 10ps/1ps
`celldefine
module AN2(O, I1, I2);
   output O;
   input I1, I2;

//Function Block
`protect
   and g1(O, I1,I2);

//Specify Block
   specify

      //  Module Path Delay
      (I1 *> O) = (6.08:8.74:15.81, 6.70:9.68:16.40);
      (I2 *> O) = (6.21:8.97:16.34, 7.07:10.26:17.40);
   endspecify
`endprotect
endmodule
`endcelldefine


我知道and g1(O, I1,I2);用逻辑运算符定义了O,I1,I2之间的关系,
但是这个(I1 *> O) = (6.08:8.74:15.81, 6.70:9.68:16.40);应该怎么理解?哪位大牛能解释一下这句话怎么定义了延迟?小弟拜谢了。
发表于 2012-7-16 08:30:48 | 显示全部楼层
同问啊
发表于 2012-7-16 11:07:02 | 显示全部楼层
这个还要看下你们的.lib文件中的标示,如voltage  1.68:1.8:1.96
temperature  -40:25:125等. (I*>O)=(tplh, tphl)
如(I1 *> O) = (6.08:8.74:15.81, 6.70:9.68:16.40)中
6.08:8.74:15.81都是tplh在voltage=1.68v : 1.8v:1.96v,  temperature=-40 : 25;125对应的tplh值.
至于 6.70:9.68:16.40也就很好理解了就是不同环境下的tphl值.
 楼主| 发表于 2012-7-16 16:45:05 | 显示全部楼层
回复 3# fashion612

那我问一下哈(I1 *> O) = (6.08:8.74:15.81, 6.70:9.68:16.40); 是说只是当I1变化时,输出端由高电平到低电平或者低电平到高电平的转换时间对吗?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2025-2-24 03:17 , Processed in 0.018286 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表