在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: oscillator_cn1

[求助] 求助:问个菜鸟问题,UVM对memory的操作也与register一样么?

[复制链接]
 楼主| 发表于 2012-7-12 08:52:41 | 显示全部楼层
谢谢whxqq。我得换本书看了。
 楼主| 发表于 2012-7-12 14:10:22 | 显示全部楼层
回复 2# whxqq


    谢谢!那个我再问一个菜问题,刚看到书上说,memory可以用uvm_mem::peek()和uvm_mem::poke()取代mirror的作用,那peek和poke是前门的还是后门的呀?
发表于 2012-7-12 23:01:02 | 显示全部楼层
本帖最后由 baddy2323 于 2012-7-12 23:02 编辑




    peek、poke分别是后门访问的读和写。
发表于 2014-7-28 22:20:49 | 显示全部楼层
gdgdfgdfggddgdg
发表于 2014-7-29 13:09:16 | 显示全部楼层
mem的读写 比 reg的读写 多一个参数, 叫偏移地址。指的是你要读mem偏移多少地址的值。
mem没有mirror,但是有个burst_write   burst_read
发表于 2018-12-2 16:31:06 | 显示全部楼层
布吉岛
发表于 2018-12-3 22:54:35 | 显示全部楼层
多谢楼主分享
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 11:43 , Processed in 0.017346 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表